KR20140096386A - 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버 - Google Patents

가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버 Download PDF

Info

Publication number
KR20140096386A
KR20140096386A KR1020147017413A KR20147017413A KR20140096386A KR 20140096386 A KR20140096386 A KR 20140096386A KR 1020147017413 A KR1020147017413 A KR 1020147017413A KR 20147017413 A KR20147017413 A KR 20147017413A KR 20140096386 A KR20140096386 A KR 20140096386A
Authority
KR
South Korea
Prior art keywords
annular portion
conductive strap
assembly
electrostatic chuck
strap
Prior art date
Application number
KR1020147017413A
Other languages
English (en)
Other versions
KR102011535B1 (ko
Inventor
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140096386A publication Critical patent/KR20140096386A/ko
Application granted granted Critical
Publication of KR102011535B1 publication Critical patent/KR102011535B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 웨이퍼들을 프로세싱하기 위한 챔버들이 제공된다. 하나의 그러한 챔버는 기판을 지지하기 위한 표면을 갖는 정전 척을 포함한다. 접지 어셈블리는 정전 척의 주변부를 둘러싸도록 제공된다. 접지 어셈블리는 제1 환형 부분 및 제2 환형 부분 및 제1 환형 부분과 제2 환형 부분 사이의 공간을 포함한다. 가요성을 갖는 도전성 스트랩이 제공된다. 도전성 스트랩은 환형이고 제1 단부와 제2 단부를 갖는 만곡된 단면 형상을 갖는다. 도전성 스트랩은 제1 단부가 제1 환형 부분에 전기적으로 연결되고 제2 단부가 제2 환형 부분에 전기적으로 연결되도록 되도록 공간에 배치된다. 만곡된 단면 형상은 환형 도전성 스트랩이 공간 내에 있는 경우 정전 척으로부터 멀어지는 개구부를 갖는다.

Description

가요성 있는 대칭적 RF 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버{PLASMA PROCESSING CHAMBER WITH FLEXIBLE SYMMETRIC RF RETURN STRAP}
발명자: Rajinder Dhindsa
본 실시예들은 웨이퍼 프로세싱 장치에 관한 것으로, 보다 구체적으로, 웨이퍼 프로세싱 장치에서 웨이퍼를 프로세싱하기 위한 방법들 및 장치들에 관한 것이다.
집적 회로들의 제조는 미세 (submicron) 디바이스 피쳐 (feature) 들 (예를 들어, 트랜지스터들, 커패시터들 등등) 이 표면 상으로 에칭되는, 화학적 반응성 플라즈마들에 도핑된 (doped) 실리콘의 영역들을 포함하는 실리콘 기판들 (웨이퍼들) 을 침지하는 단계를 포함한다. 제1 층이 제조되면, 몇몇 절연 (유전체) 층들은, 비아 (via) 들로도 지칭된, 홀 (hole) 들과 트렌치 (trench) 들이 도전성 상호접속부들의 배치를 위해 재료 내로 에칭되는, 제1 층의 상단 상에 형성된다.
불균일 에칭은 웨이퍼 수율에 역으로 영향을 줄 수 있다. 게다가, 임계 치수의 크기가 디바이스들의 새로운 세대 각각마다 감소할수록, 그리고 웨이퍼 크기들이 동일한 웨이퍼로부터 더 많은 수의 디바이스들을 용이하게 생산하도록 증가할수록, 불균일성 (non-uniformity) 요구조건들은 보다 더 엄격해진다. 따라서, 불균일성을 제어하는 것은 보다 첨단 기술 노드들 (nodes) 이 비용-효율적 방식으로 생산되는 것을 가능하게 하는 열쇠이다.
본 발명의 실시예들이 발생하는 것은 본 문맥 내에 있다.
본 명세서의 실시예들은 반도체 웨이퍼들을 프로세싱하기 위해 사용된, 프로세스 챔버의 실시예들을 제공한다. 일 구현에서, 프로세스 챔버는, 부분들 사이에서 가요성 있는 접지 통로를 완성하도록 접지 어셈블리의 제2 부분을 갖는 접지 어셈블리의 제1 부분을 커플링하는 스트랩을 포함한다.
일 실시예에서, 반도체 웨이퍼들을 프로세싱하기 위한 챔버가 개시된다. 챔버는 기판을 지지하기 위한 표면을 갖는 정전 척을 포함한다. 접지 어셈블리는 정전 척의 주변부를 둘러싸도록 제공된다. 접지 어셈블리는 제1 환형 부분 및 제2 환형 부분 및 제1 환형 부분과 제2 환형 부분 사이의 공간을 포함한다. 가요성을 갖는 도전성 스트랩이 제공된다. 도전성 스트랩은 환형이고 제1 단부와 제2 단부를 갖는 만곡된 단면 형상을 갖는다. 도전성 스트랩은, 제1 단부가 제1 환형 부분에 전기적으로 연결되고 제2 단부가 제2 환형 부분에 전기적으로 연결되도록, 공간에 배치된다. 만곡된 단면 형상은, 환형 도전성 스트랩이 공간 내에 있는 경우, 정전 척으로부터 멀어지는 (face away) 개구부를 갖는다.
다른 실시예에서, 챔버가 개시된다. 챔버는 기판을 지지하기 위한 표면을 갖는 정전 척을 포함한다. 또한, 정전 척의 주변부를 둘러싸는 초점 링 어셈블리와 초점 링 어셈블리의 주변부를 둘러싸는 접지 어셈블리가 포함된다. 접지 어셈블리는 제1 환형 부분 및 제2 환형 부분 및 제1 환형 부분과 제2 환형 부분 사이의 공간을 포함한다. 가요성을 갖는 도전성 스트랩이 제공된다. 도전성 스트랩은 환형이고 제1 단부 및 제2 단부를 갖는 만곡된 단면 형상을 갖는다. 도전성 스트랩은 제1 단부가 제1 환형 부분에 전기적으로 연결되고 제2 단부가 제2 환형 부분에 전기적으로 연결되도록 공간에 배치된다. 만곡된 단면 형상은 환형 도전성 스트랩이 공간 내에 있는 경우, 정전 척으로부터 멀어지는 개구부를 갖는다.
일 실시예에서, 챔버가 제공되고, 도전성 스트랩은 복수의 핑거 (finger) 들을 포함하고, 핑거 각각은 만곡된 단면 형상의 제1 단부와 제2 단부 사이에서 연장한다.
일 실시예에서, 챔버가 제공되고, 만곡된 단면 형상의 제1 단부와 제2 단부는 접지 어셈블리의 제1 환형 부분과 제2 환형 부분에 개별적으로 클램핑 (clamp) 된다.
일 실시예에서, 챔버가 제공되고, 접지 어셈블리의 제1 환형 부분은 수직으로 이동하도록 형성되고, 가요성을 갖는 도전성 스트랩은 제1 환형 부분이 아래로 이동하는 경우 가압할 것이고 제1 환형 부분이 위로 이동하는 경우 연장할 것이고, 만곡된 단면 형상의 제1 단부는 제1 환형 부분에 나사 (screw) 들에 의해 클램핑되고 만곡된 단면 형상의 제2 단부는 제2 환형 부분에 나사들에 의해 클램핑된다.
일 실시예에서, 챔버가 제공되고, 도전성 스트랩은 판금 구리 (sheet metal copper) 로부터 정의된다.
일 실시예에서, 챔버가 제공되고, 도전성 스트랩은, 플라즈마의 영역에 근접한 제1 환형 부분과 챔버의 챔버 벽의 접지에 커플링하는 제2 환형 부분 사이의 도전성 통로 (path) 를 제공한다.
일 실시예에서, 챔버가 제공되고, 제1 환형 부분은 L 형상 단면을 갖고 제2 환형 부분은 L 형상 단면을 갖고, L 형상들의 장측부는 관형부 (tubular portion) 들을 정의하고 L 형상들의 단측부는 정전 척으로부터 멀어지는 연장부들을 정의하고, 공간은 연장부들을 정의한 L 형상의 단측부들 사이에 있다.
일 실시예에서, 챔버가 제공되고, 제1 환형 부분과 제2 환형 부분의 관형부들은 초점 (focus) 링 어셈블리의 유전체 링들에 평행하고, 초점 링 어셈블리는 정전 척을 즉시 둘러싸고 접지 어셈블리는 초점 링 어셈블리를 둘러싼다.
일 실시예에서, 챔버가 제공되고, 접지 어셈블리의 제1 환형 부분과 제2 환형 부분은 천공형 플라즈마 한정 링 아래에 배치된다.
일 실시예에서, 챔버가 제공되고, RF 전력은 정전 척에 공급되고 RF 전류는 접지 어셈블리와 도전성 스트랩에 의해 적어도 부분적으로 복귀된다.
도 1은 본 발명의 일 실시예에 따른, 플라즈마 반응기의 단면을 도시한다.
도 2는 챔버의 2개의 도전성 부분들 사이에 삽입 (fit) 되도록 설계되고 가요성 있는 (flexible) RF 복귀 스트랩 (strap) 과 RF 복귀 스트랩의 윤곽 형상의 보다 상세한 도면을 도시한다.
도 3은 일 실시예에 따른, RF 복귀 스트랩의 다른 상세한 다이어그램을 도시한다.
도 4는 일 실시예에 따른, RF 복귀 스트랩의 다른 단면도를 도시한다.
도 5는 일 실시예에 따른, 천공형 (perforated) 플라즈마 한정 (confinement) 링 영역의 부분 도면과 상부 전극의 다른 상세한 다이어그램을 도시한다.
본 명세서의 실시예들은 반도체 웨이퍼들을 프로세싱하기 위해 사용되는, 프로세스 챔버의 실시예들을 제공한다. 일 구현에서, 프로세스 챔버는 반응기로서 지칭된다. 일 구성은 하단 전극의 맞은편에 부가적인 전극을 포함하고, 이는 실질적으로 대칭적인 RF 접지 전극에 의해 둘러싸인다. 일 실시예에서, 상단 전극 상의 저 무선 주파수 (RF; radio frequency) 전력은 반응기 벽들 뿐만 아니라 상단 챔버 상에서의 이온 에너지를 제어한다. 이는 플라즈마 케미스트리 (chemistry) 를 제어하는 것을 돕고 단계 대 단계 제어가 레시피 (recipe) 들에서 전력 설정을 조정하는 것을 가능하게 한다.
상단 전극 표면 상에서 온도를 더 제어하도록, 이중 구역 온도 제어가, 내측 및 외측 상단 전극 온도들이 프로세스 동안 독립적으로 제어될 수 있도록, 반응기의 상단 영역에 설계된다. 게다가, 다중 구역 가스 공급 시스템이 바람직한 방사상 균일도를 달성하도록 다양한 구역들을 통해 튜닝 (tuning) 가스와 다양한 프로세스 가스 비율들을 주입하도록 구현된다.
또한, 다른 실시예에서, 하단부에서의 주변부 RF 공급부는 고 RF 주파수에서의 RF 전달에서 방위각 에칭 비율 균일도를 개선시키도록 설계된다. 정전 척 (ESC; electrostatic chuck) 히터와 ESC 전극에서의 AC 및 DC 케이블들은 RF 공급부를 통해 라우팅되고 (routed) RF 매칭에서 통합된 RF 필터 내에서 종결된다.
일 실시예에서, 대칭적 RF 접지 복귀는 C-형상 가요성 금속 유닛, 예를 들어, RF 복귀 스트랩 (101) 을 통해 구현된다. 이 금속 스트랩은, 균일하게 분포된 상단 및 하단 전극들 사이의 RF 복귀 통로를 유지하면서, 프로세스 동안의 갭 조정을 허용한다. 갭 조정 동안, RF 복귀 스트랩 (101) 은 보다 폐쇄된 C 형상으로 구부러지거나 보다 개방된 C 형상이 되도록 펴진다. 일반적으로, C 형상은 개구부를 갖는 만곡된 형상이고, 개구부는 만곡된 형상의 단부들 또는 부착 포인트들에 의해 이동되는 경우 더 개방되거나 더 폐쇄될 수도 있다. 도 1 내지 도 4에 도시된 바와 같이, RF 복귀 스트랩 (101) 은 정전 척의 주변부를 둘러싸고, 접지된 컴포넌트들에 연결되고, 정전 척 주위에 대칭적으로 분포된 접지에 더 균일한 통로를 제공한다.
일 실시예에서, RF 복귀 스트랩은, 스트랩의 단부들 사이에서 커플링된 부분들의 이동의 정도에 대해 가요성이 있는, 구리 재료로 제조된다. 일 실시예에서, 구리는 스트립 (strip) 들 또는 핑거 (finger) 들을 정의하기 위한 형상으로 펀칭 (punch) 될 수 있고 가요성 있는 판금 구리이다. 다른 실시예들에서, 구리는 형상으로 기계화되거나 형성될 수 있다. 일 실시예에서, 부분들은 도전성 금속 부분들이고, 스트랩은 스트랩의 일 단부에서 일 부분에 연결되고 스트랩의 다른 단부에서 다른 부분에 연결된다. 하부 전극의 주변 주위의 접촉 포인트들은 챔버로부터 다시 RF 공급부부들 아래로 접지하도록 복귀 통로의 균일한 분포를 제공한다.
본 실시예들은 프로세스, 장치, 시스템, 디바이스, 또는 방법과 같은, 수많은 방법들로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들은 아래 설명된다.
2개의 전극들 사이에 전기장을 여기하는 것은 에칭 챔버에서 RF 가스 방전을 획득하는 방법들 중 하나이다. 진동 전압이 전극들 사이에 인가되는 경우, 획득된 방전은 용량성 커플링된 플라즈마 (CCP; capacitive coupled plasma) 방전으로 지칭된다.
플라즈마는 전자-중성자 충돌들에 의해 야기된 다양한 분자들의 해리에 의해 생성된 매우 다양한 화학적 반응성 부산물들을 획득하도록 안정된 공급 원료 가스들을 이용하여 생성될 수 있다. 에칭의 화학적 양태는 중성 가스 분자들과 에칭될 표면의 분자들을 갖는 그들의 해리된 부산물들과의 반응 및 펌핑 (pump) 될 수 있는, 휘발성 분자들을 생산하는 것을 포함한다. 플라즈마가 생성되는 경우, 양이온들은, 웨이퍼의 표면으로부터 재료를 제거하기에 충분한 에너지로 웨이퍼 표면에 충격을 가하도록, 플라즈마를 벽들로부터 분리하는 공간 전하 시스 (sheath) 에 걸쳐 플라즈마로부터 가속된다.
일 실시예에서, CF4 및 C-C4F8과 같은, 플루오르화 탄소 (Fluorocarbon) 가스들은 플루오르화 탄소 가스들의 이방성 및 선택적 에칭 능력들로 유전체 에칭 프로세스에서 사용되지만, 본 명세서에서 설명된 원리들은 다른 플라즈마-생성 가스들에 적용될 수 있다. 플루오르화 탄소 가스들은 더 작은 분자 및 원자 라디칼들로 용이하게 해리된다. 이 화학적 반응성 부산물들은, 일 실시예에서 저-k 디바이스들에 대해 SiO2 또는 SiOCH일 수 있는, 유전체 재료를 에칭한다. 에칭의 최종 프로세스는 집적 회로 디바이스들을 만드는데 사용된 많은 에칭 단계들 중 하나로 간주될 수 있다. 그 후, 집적 회로 디바이스들은 다양한 전기적 디바이스들로 포장된다. 또한, 디바이스들은 완성된 집적 회로 디바이스들로 정의될 수 있다.
도 1은 본 발명의 일 실시예에 따른, 플라즈마 반응기의 단면도를 도시한다. 반응기는 주변 (surrounding) 챔버 벽 (12) 에 의해 정의된 주변 챔버 (10), 상단 전극 어셈블리 (16) 와 하부 척 어셈블리 (18) 에 의해 정의된 플라즈마 한정 (confinement) 영역 (14) 을 포함한다. 척 어셈블리 (18) 는 척 어셈블리의 상단 측부 상에 기판 지지 표면을 제공하는 정전 척 (20) 을 포함하고, 정전 척의 기판 지지 표면에 기판의 정전 클램핑 (clamping) 을 제공한다. 기능판 (22) 은 (예를 들어, 웨이퍼를 지지하기 위한) 기판 지지 표면의 맞은편 측부 상의 정전 척 (20) 에 커플링된다. 다양한 기능 컴포넌트들은, 가열, 냉각, 승강 핀들의 제어, 및 정전 클램핑에 관한 컴포넌트들과 같은, 기능판 (22) 에 커플링된다.
도시된 바와 같이, 상단 전극 어셈블리 (16) 는 플라즈마 한정 영역 (14) 내로 프로세스 가스를 공급하기 위한 샤워헤드 (11) 를 포함한다. 또한, 상단 전극 어셈블리는 플라즈마 한정 영역 (14) 을 정의하도록 척 어셈블리 (18) 와 인게이지 (engage) 하고 상단 전극 어셈블리 (16) 에 연결되는, 장막 (shroud) (13) 을 포함한다. 천공들 (15) 은 플라즈마 한정 영역 (14) 을 여기하는 가스 유동으로 정의된다. 천공들은, 여전히 가스 유동을 허용하면서, 영역 (14) 에서 플라즈마를 한정하도록 기능하는 링에서 정의된다.
중공 RF 공급부 (24) 은 기능판 (22) 의 에지 (edge) 에 RF 전력을 전달하도록, 기능판 (22) 의 주변부에 커플링된다. 이 구성은, 기능판에 커플링된 컴포넌트들이 RF 전류의 통로에 있지 않도록, RF 전류가 기능판 (22) 의 내부 부분을 우회할 수 있게 한다. 이러한 방식으로, 척 어셈블리 상에 위치된 기판으로의 RF 전달은 높은 방위각 균일도로 달성된다.
중공 RF 공급부 (24) 은 기능판 (22) 에 연결되는 제1 부분 (26A), 및 척 어셈블리 (18) 로부터 측면으로 멀리 연장하는 제2 부분 (26B) 을 포함한다. 도시된 실시예에서 도시된 바와 같이, 중공 RF 공급부의 반대편 단부에서 기능판으로부터 RF 소스로 멀리 연장되는 동안, 중공 RF 공급부 (24) 은 일 단부에서 기능판 (22) 의 주변부에 접합된다. 기능판에 연결되는 제1 부분 (26A) 은 척 어셈블리로부터 멀리 연장하는 관형부인 제2 부분 (26B) 보다 실질적으로 더 큰 직경을 갖는 사발 (bowl) -형상부이다. 제2 부분 (26B) 은 인터페이스 (25) 에서 제1 부분 (26A) 에 의해 정의된 사발-형상부 내의 홀 (hole) 에 연결된다. 따라서, 기능판에 커플링된 다양한 자 (child) 기능 컴포넌트들은 중공 RF 공급부의 제1 부분 (26A) 의 내부 내에 포함된다.
게다가, 접지 쉴드 (shield) (28) 는 척 어셈블리 (18) 의 일부로 제공된다. 접지 쉴드 (28) 는 그 위에서 흐르는 전류에 대해 실질적으로 대칭적 RF 복귀 형상을 용이하게 한다. 접지 쉴드 (28) 는 제1 부분 (26A) 과 제2 부분 (26B) 이 연결된 중공 RF 공급부 (24) 의 영역을 둘러싸도록 정의된다. 따라서, 접지 쉴드 (28) 는 중공 RF 공급부 (24) 의 제2 부분 (26B) 과 제1 부분 (26A) 사이의 배리어 (barrier) 를 정의한다. 접지 쉴드 (28) 는, RF 접지 어댑터 (adapter) 튜브 (32) 가 접지로 연장하는 곳으로부터, 척 어셈블리 벽 (30) 에 연결된다. 또한, 척 어셈블리 벽 (30), 접지 쉴드 (28), 및 중공 RF 공급부 튜브 (24) 를 통해 전달된 RF 전류에 대한 복귀 통로로부터의 RF 접지 어댑터 튜브 (32) 가 있다. 중공 RF 공급부의 제2 부분 (26B) 의 일부는 RF 접지 어댑터 튜브 (32) 의 내부 내에서 정의된다는 것에 대해 유의해야 할 것이다. 중공 RF 공급부의 제2 부분 (26B) 의 일부와 RF 접지 어댑터 튜브 (32) 는 함께 동축부 (coaxial section) 를 정의한다.
도 1은 본 발명의 일 실시예에 따른, C-형상 구성으로 구성된, RF 복귀 스트랩 (101) 을 더 도시한다. 도시된 바와 같이, RF 복귀 스트랩 (101) 의 C-형상은 하부 전극의 도전성 부분 (103) 과 상부 전극 어셈블리의 도전성 부분 (102) 사이의 전기적 접촉을 제공하도록 그리고 하부 전극의 도전성 부분 (103) 과 상부 전극 어셈블리의 도전성 부분 (102) 내에 삽입 (fit) 되도록 설계된다. 도전성 부분들 (102 및 103) 은 정전 척을 둘러싸는 접지 어셈블리의 일부를 형성한다. 도전성 부분 (102) 은 제1 환형 부분이고 도전성 부분 (103) 은 제2 도전성 부분이다. 접지 어셈블리는 하나 이상의 유전체 부분들에 의해 정전 척으로부터 전기적으로 절연된다.
도 1의 구성에서, 접지 어셈블리는 초점 링 어셈블리 (160) 의 외부에 있는 주변부 측부 벽 상에서 정전 척 (20) 을 둘러싸도록 구성되고, 부분들 (102 및 103) 을 포함한다. 초점 링 어셈블리 (160) 는 정전 척 (20) 을 둘러싼다. 초점 링 어셈블리 (160) 는 영역 (14) 에서 플라즈마와 대면하는 (face) 석영 링 (160a) 을 포함할 수 있다. 또한, 초점 링 어셈블리 (160) 는, 접지에 커플링된 도전체인, 부분 (103) 으로부터 정전 척 (20) 을 전기적으로 고립시키고 석영 링 (160b) 아래에 놓이는 다수의 유전체 링들 (160c) (도 3 참조) 을 포함할 것이고, 접지 어셈블리의 부분을 형성할 것이다. 도전성 부분 (102 및 103) 은 (정전 척에 근접한 절연 부분들을 포함하는) 정전 척보다 더 큰 직경을 갖는 환형 형상을 갖는다. 환형 형상은 도전성 부분 (102 및 103) 이 접지로의 RF 복귀 스트랩 (101) 에 대한 균일하고 대칭적 연결을 제공하도록 허용한다.
RF 복귀 스트랩 (101) 은 클램핑 구조물 (104) 에 의해 도전성 부분 (102) 및 클램핑 구조물 (106) 에 의해 도전성 부분 (103) 에 연결된다. 일 실시예에서, 클램핑 구조물들은 양호한 전기적 연결을 확보하도록 도전성 부분들 (102 및 103) 에 RF 복귀 스트랩을 압축하는 링 형상 구조물일 수 있다. 링 형상 구조물은 클램핑 압력을 촉진시키는, 나사들 (109) (도 3 참조) 에 의해 클램핑되거나 부착될 수 있다. 도 3에서 도시된 바와 같이, 나사들은 스트랩에 직접 적용될 수 있거나 스트랩은 나사로 조여진 중간 블록 (block) 에 의해 연결되거나 클램핑될 수 있다.
위에서 유의된 바와 같이, RF 복귀 스트랩은, RF 복귀 스트랩의 상부 접촉 표면과 하부 접촉 표면 사이에서 원주 전체 주위에 복수의 접촉 포인트들 (121) 을 제공하는, 구리와 같은, 도전성 재료로 정의된다. 일 실시예에서, C-형상 스트랩이 도전성 부분들에 접촉하는 주변 원주에 따라 있는 접촉 포인트들의 수는 약 10 내지 500 접촉 포인트들 사이, 또는 약 50 내지 300 접촉 포인트들 사이, 또는 약 100 내지 200 접촉 포인트들 사이, 또는 약 150 접촉 포인트들의 범위 내에 있을 수 있다. 또 다른 실시예에서, 접촉 포인트들은 스트랩에 대한 하나의 단일 접촉 포인트로 향하는 (making for) 원주를 따라 함께 연결될 수 있다. 그러한 설계에서, 스트랩은 여전히 스트립부 (120) 들 (도 2의 스트랩 세부사항을 참조) 사이의 갭들을 가질 수 있으나, 스트립부 각각의 상단과 하단은 위치들 (122) 에서 함께 접합될 수 있다.
RF 복귀 스트랩 (101) 은 도 1에서 도시된 플라즈마 프로세스 영역 (14) 내에서 생성되는 플라즈마로부터 접지로 복귀 통로를 제공하도록 설계된다. 복귀 통로는, 플라즈마 영역 (14) 사이에, 상단 전극을 통해 위에, (예를 들어, 실리콘 또는 다른 도전성 재료로 만들어진) C-장막을 따라, 도전성 부분 (102) 에, RF 복귀 스트랩 (101) 을 통해 아래에, 도전성 부분 (103) 에, 그리고 하부 전극의 도전성 하우징에 있도록 설계된다. 본 발명의 일 실시예에서, 챔버 (12) 는 접지된다. 도시된 바와 같이, 챔버는 하부 전극에 RF 전력으로 제공되고, RF 전력은 하나 이상의 RF 전력 생성기들을 통해 제공될 수 있다. 일 실시예에서, 3개의 RF 전력 생성기들은 3개의 상이한 주파수들에서 전력을 전달하도록 제공될 수 있다. RF 전력은 예를 들어, 2MHz, 27MHz, 60MHz, 또는 그 주파수들의 조합들에서 전달될 수 있다.
게다가, 챔버는 상단 전극에 커플링되는 다른 RF 전력으로 제공될 수 있거나, 상단 전극이 접지될 수 있다. 일 실시예에서, RF 전력이 상단 전극에 커플링되는 경우, 전력은 저 주파수 전력 생성기에 의해 제공된다. 일 실시예에서, RF 전력은 20kHz 내지 2MHz 사이의 범위로부터 선택된다. 일 실시예에서, RF 전력은 약 400kHz로 설정된다. 또 다른 실시예에서, 상단 RF 전력은, 상단 RF 전력이 완전히 턴오프 (turn off) 되고 접지될 경우 하드 (hard) 접지 스위치를 제공할 수 있는, 스위치에 커플링될 수 있고, 챔버는 하단 RF 전력 활성화만으로 작동하도록 설계된다. 물론, 구성은 표적 프로세스 어플리케이션과 프로세스 파라미터들에 종속될 것이다.
도 2는 접지 어셈블리를 정의하는, 챔버의 2개의 도전성 부분들 (102 및 103) 사이에 적합하도록 설계되고 가요성 있는 (flexible) RF 복귀 스트랩 (strap) 과 RF 복귀 스트랩의 윤곽 형상의 보다 상세한 도면을 도시한다. 또한, 접지 어셈블리는, 접지된 챔버 벽 (12) 에 연결된, 접지 지지부 (112) 에 연결된 것으로 도시된다. 접지 어셈블리의 도전성 부분 (103) 은 (접지 어셈블리의 단면에서) L 형상을 갖고, 스트랩은 L의 하단에 연결되고 L의 후측은 초점 링 어셈블리 (160) 위와 옆으로 연장한다. 또한, 부분 (102) 은 부분 (104) 에서 스트랩 (101) 에 연결 포인트를 제공하고 링 (15) 위로 연장하는 (부분의 단면에서) 더 작은 L 형상을 갖는다. 부분 (102 및 103) 의 2개의 L 형상 단면들 사이에서, 스트랩은 C 형상 구성으로 배치될 것이다.
외부로 연장하는 L의 하단 부분이 정전 척으로부터 멀리 연장하는 연장부로 간주될 수 있는 반면, 그의 환형 구조로 인해, 부분 (103) 의 L 형상의 후측은 관형부로 간주될 수 있다. 또한, 외부로 연장하는 L의 하단 부분이 정전 척으로부터 멀리 연장하는 연장부로 간주될 수 있는 반면, 부분의 환형 구조로 인해, 부분 (102) 의 L 형상의 후측은 관형부로 간주될 수 있다. 부분 (102 및 103) 의 연장부들 사이는, 스트랩이 연결될 수 있는 공간을 정의할 것이다. 일 실시예에서, 접지로부터 여전히 전기적 전도를 유지하는 동안, 부분 (102) 은 상하로 이동할 수 있고, 스트랩 (101) 의 이 가요성은 이 이동을 허용한다.
접지 어셈블리를 정의하는, 부분들 (102 및 103) 은, 초점 링 어셈블리 (160) 부분적으로 아래에 있고 외부에 있는 위치에서, 부분들이 정전 척 (20) 의 외측 주변부를 실질적으로 둘러싸는 것을 허용하도록 환형 형상을 가질 것이다. 다른 부분들 사이에서, 초점 링 어셈블리 (160) 는 핫 (hot) 에지 링 (160b) 을 둘러싸는 석영 링 (160a) 을 포함한다. 핫 에지 링 (160b) 은 정전 척 (20) 위로 웨이퍼를 받아들일 지지 표면에 가장 인접하게 위치되도록 설계된다. 도 2는 정전 척 (20) 의 표면을 도시하지만, 정전 척 상에 위치된 웨이퍼를 도시하지 않는다. 웨이퍼가 정전 척 (20) 의 지지 표면 위에 위치되는 경우, 웨이퍼의 상단 표면은 초점 링 어셈블리 (160) 의 석영 링 (160a) 과 핫 에지 링 (160b) 의 상단 표면과 대략 동일 평면 상에 있을 것이다.
도시된 바와 같이, 접지로의 복귀 통로에 대한 전기적 접촉을 유지하는 동안, C 구성 또는 형상으로 RF 복귀 스트랩 (101) 을 위치시킴으로써, 챔버 일부들이 상하로 이동하도록 가요성을 제공하는 것이 가능하다. 천공형 플라즈마 한정 링 (15) 을 통과하는, 프로세스 영역의 밖으로의 가스들의 전도도는, 스트랩이 유동의 통로를 방해하게 하지 않고도 여전히 발생할 수 있다. 스트랩이 실질적으로 내부에 삽입된 경우, 스트랩은 유동의 통로 (즉, 가스의 전도도) 를 방해하지 않을 것이고, 스트랩은 챔버의 도전성 부분들 (102 및 103) 사이에 샌드위치 (sandwich) 된다. 이 도면에서, 접지된 도전성 부분 (102) 도 102a를 향해 그리고 102a 위로 도전성 있다는 것도 도시될 수 있다. 이 구성은 부분 (102) 의 위치를 천공형 플라즈마 한정 링 (15) 근처로 허용하고, 또한, 플라즈마가 링 (15) 을 통해 접지에 커플링할 수도 있는 표면을 제공한다.
도 3은 챔버의 도전성 부분들 (102 및 103) 에 커플링되는 경우, RF 복귀 스트랩의 다른 상세한 다이어그램을 도시한다. 상단과 부분들 (102 및 103) 사이의 전기적 전도도를 여전히 유지하는 동안, 챔버의 도전성 부분들 (102 및 103) 은 스트랩이 클램핑 도전성 블록들 (104 및 106) 으로 클램핑되도록 허용한다. 일 실시예에서, RF 복귀 스트랩 (101) 은 구리로 제조된다. 사용된 구리는 만곡된 형상을 유지하도록 충분히 두껍게 형성되거나, 만들어지는 경우 형상으로 복귀되거나 형상을 홀드하도록 형성된 얇은 구리 판들로 있을 수도 있으나, 압축되거나 연장된 경우 구부리거나/이동하기에 충분한 가요성이 있다. 다른 실시예에서, RF 전력이, 접지로의 RF 전력의 복귀를 허용하도록 챔버의 두 도전성 조각들 사이에서 연통될 수 있는 한, RF 복귀 스트랩 (101) 은 다른 도전성 재료로 만들어질 수 있다.
도 3에서, 천공형 링 (15)으로부터 멀어지거나 더 가깝게 위치되도록 구성된, 링 판 (130) 이 제공된다. 링 판 (130) 은 부분 (102) 에 커플링될 수도 있고, 따라서, 링 (15) 의 천공들을 통해 가스 전도도를 더 제공하도록 하강될 수 있거나 가스 유동을 멈추게 하도록 링 (15) 에 근접한 상측 위치에 제공될 수 있다. 파트 (102) 가 상하로 이동하는 경우, 양호한 전기적 전도도를 여전히 제공하면서, RF 복귀 스트랩 (101) 은 굽혀질 수 있다.
스트랩의 측부에 커플링되는, 부분 (102) 은 동작 동안 이동하도록 설계될 수도 있거나, 동작 후에, 프로세싱 동안 챔버의 밖으로 가스들의 유동을 조정하도록 설계될 수도 있다. 도시된 바와 같이, 스트랩은 실질적으로 하부 전극을 둘러싸거나, 둘러싸는 스트랩 주위 전체에 복수의 핑거들 (스트립 부들 (120)) 을 갖는다. 복수의 핑거들은 2개의 부분들 (102/103) 사이의 전기적 전도도의 최대량을 제공하는 것을 도울 뿐만 아니라, 스트랩 재료의 개별적 측부들 사이에 공기 유동을 제공한다. 따라서, 가요성 있는, 스트랩은 챔버의 부분들로 이동할 수 있고, 부착 블록들 (104 및 106) 에 의해 적용되는 경우 용이한 제거를 제공할 것이다. 부분들 (102 및 103) 에 나사들에 의해 부착되는, 부착 블록들 (104 및 106) 은, 마모가 대체에 영향을 주거나 세정이 요구되는 경우, RF 복귀 스트랩의 용이한 대체를 위해 제거될 수 있다.
도 4는 RF 복귀 스트랩 (101) 의 다른 단면도를 도시한다. 이 도시에서, 챔버 내에서 발생하는 플라즈마 프로세싱은, 챔버의 구성들에 기초하여, 챔버 밖으로 가스들이 유동하게끔 할 것이다. RF 복귀 스트랩 (101) 이 가요성 있는 C-형상 내로 집어 넣어지게끔 (tucked into) 함으로써, 챔버의 2개의 도전성 부분들 (102 및 103) 사이에서, 천공형 플라즈마 한정 링을 통한 가스들의 유동은 실질적으로 방해되지 않을 것이다. 가스 유동의 방해는 에칭의 균일도에 영향을 줄 수 있는, 부정적 프로세싱 효과들을 야기할 수도 있다.
도 5는 천공형 플라즈마 한정 링 영역 (15) 의 부분 도면과 상부 전극의 다른 상세한 다이어그램을 도시한다. 상부 전극의 부분은, 가스들이 상부 전극의 샤워헤드 영역을 통해 유동하는 것을 허용하는 복수의 도관들을 포함한다. 일 실시예에서, 상부 전극의 샤워헤드 내에서의 플라즈마 점화를 방지하도록, 샤워헤드로부터 플라즈마 영역 내로의 개구부들은 최소화되도록 설계된다. 이 도시에서, 가스들이 샤워헤드로 유동되는, 상부 전극부는 알루미늄 (aluminum) 재료로부터 정의된다. 위의 알루미늄 재료는 알루미늄 나이트라이드 (nitride) 재료이고, 위의 알루미늄 나이트라이드 재료는 접지된 영역이다.
또한, 일 실시예에서, 상부 전극은 내측 및 외측 히터를 포함할 것이다. 내측 히터는 히터 1로 식별되고, 외측 히터는 히터 2로 식별된다. 챔버의 외측 영역과 챔버의 내측 영역에 별개의 히터를 제공함으로써, 플라즈마 프로세싱 챔버 내에서 프로세싱 파라미터들을 보다 가깝게 제어하는 것이 가능하다. 도 1은 외측 히터와 내측 히터의 배치의 보다 상세한 도시를 제공한다.
앞선 실시예들이 이해의 명확화 목적들을 위해 몇몇 세부사항들로 설명되었더라도, 임의의 변경들과 변형들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것은 명백해질 것이다. 따라서, 본 실시예들은 제한적이지 않고 도시적으로 간주될 것이고, 실시예들은 본 명세서에서 주어진 세부사항들로 제한되지 않을 것이지만, 청구항들의 등가물들 및 범위 내에서 변형될 수도 있다.

Claims (19)

  1. 기판을 지지하기 위한 표면을 갖는 정전 척;
    상기 정전 척의 주변부를 둘러싸는 접지 어셈블리로서, 상기 접지 어셈블리는 제1 환형 부분 및 제2 환형 부분 및 상기 제1 환형 부분과 상기 제2 환형 부분 사이의 공간을 포함하는, 상기 접지 어셈블리; 및
    가요성을 갖는 도전성 스트랩으로서, 상기 도전성 스트랩은 환형이고 제1 단부와 제2 단부를 갖는 만곡된 단면 형상을 갖고, 상기 도전성 스트랩은 상기 제1 단부가 상기 제1 환형 부분에 전기적으로 연결되고 상기 제2 단부가 상기 제2 환형 부분에 전기적으로 연결되도록, 상기 공간에 배치되고, 상기 만곡된 단면 형상은 상기 환형 도전성 스트랩이 상기 공간 내에 있는 경우, 상기 정전 척으로부터 멀어지는 (face away) 개구부를 갖는, 상기 도전성 스트랩을 포함하는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  2. 제1 항에 있어서,
    상기 도전성 스트랩은 복수의 핑거 (finger) 들을 포함하고, 핑거 각각은 상기 만곡된 단면 형상의 상기 제1 단부와 상기 제2 단부 사이에서 연장하는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  3. 제1 항에 있어서,
    상기 만곡된 단면 형상의 상기 제1 단부와 상기 제2 단부는 상기 접지 어셈블리의 상기 제1 환형 부분과 상기 제2 환형 부분에 개별적으로 클램핑 (clamp) 된, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  4. 제1 항에 있어서,
    상기 접지 어셈블리의 상기 제1 환형 부분은 수직으로 이동하도록 구성되고, 가요성을 갖는 상기 도전성 스트랩은 상기 제1 환형 부분이 아래로 이동하는 경우 가압될 것이고 상기 제1 환형 부분이 위로 이동하는 경우 연장될 것이고, 상기 만곡된 단면 형상의 상기 제1 단부는 상기 제1 환형 부분에 나사 (screw) 들에 의해 클램핑되고 상기 만곡된 단면 형상의 상기 제2 단부는 상기 제2 환형 부분에 나사들에 의해 클램핑된, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  5. 제1 항에 있어서,
    상기 도전성 스트랩은 판금 구리 (sheet metal copper) 로부터 정의된, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  6. 제1 항에 있어서,
    상기 도전성 스트랩은, 플라즈마의 영역에 근접한 상기 제1 환형 부분과 상기 챔버의 챔버 벽의 접지에 커플링되는 상기 제2 환형 부분 사이의 도전성 통로 (path) 를 제공하는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  7. 제1 항에 있어서,
    상기 제1 환형 부분은 L 형상 단면을 갖고 상기 제2 환형 부분은 L 형상 단면을 갖고, 상기 L 형상들의 장측부는 관형부 (tubular portion) 들을 정의하고 상기 L 형상들의 단측부는 상기 정전 척으로부터 멀어지는 연장부들을 정의하고, 상기 공간은 상기 연장부들을 정의하는 상기 L 형상의 단측부들 사이에 있는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  8. 제7 항에 있어서,
    상기 제1 환형 부분과 상기 제2 환형 부분의 상기 관형부들은 초점 (focus) 링 어셈블리의 유전체 링들에 평행하고, 상기 초점 링 어셈블리는 상기 정전 척을 직접적으로 둘러싸고 상기 접지 어셈블리는 상기 초점 링 어셈블리를 둘러싸는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  9. 제1 항에 있어서,
    상기 접지 어셈블리의 상기 제1 환형 부분과 상기 제2 환형 부분은 천공형 플라즈마 한정 링 아래에 배치된, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  10. 제1 항에 있어서,
    RF 전력은 상기 정전 척에 공급되고 RF 전류는 상기 접지 어셈블리와 상기 도전성 스트랩에 의해 적어도 부분적으로 복귀되는, 반도체 웨이퍼들을 프로세싱하기 위한 챔버.
  11. 기판을 지지하기 위한 표면을 갖는 정전 척;
    상기 정전 척의 주변부를 둘러싸는 초점 링 어셈블리;
    상기 초점 링 어셈블리의 주변부를 둘러싸는 접지 어셈블리로서, 상기 접지 어셈블리는 제1 환형 부분 및 제2 환형 부분 및 상기 제1 환형 부분과 상기 제2 환형 부분 사이의 공간을 포함하는, 상기 접지 어셈블리; 및
    가요성을 갖는 도전성 스트랩으로서, 상기 도전성 스트랩은 환형이고 제1 단부 및 제2 단부를 갖는 만곡된 단면 형상을 갖고, 상기 도전성 스트랩은 상기 제1 단부가 상기 제1 환형 부분에 전기적으로 연결되고 상기 제2 단부가 상기 제2 환형 부분에 전기적으로 연결되도록, 상기 공간에 배치되고, 상기 만곡된 단면 형상은 상기 환형 도전성 스트랩이 상기 공간 내에 있는 경우, 상기 정전 척으로부터 멀어지는 개구부를 갖는, 상기 도전성 스트랩을 포함하는, 챔버.
  12. 제11항에 있어서,
    상기 도전성 스트랩은 복수의 핑거들을 포함하고, 핑거 각각은 상기 만곡된 단면 형상의 상기 제1 단부와 상기 제2 단부 사이에서 연장하는, 챔버.
  13. 제11항에 있어서,
    상기 만곡된 단면 형상의 상기 제1 단부와 상기 제2 단부는 상기 접지 어셈블리의 상기 제1 환형 부분과 상기 제2 환형 부분에 개별적으로 클램핑된, 챔버.
  14. 제11항에 있어서,
    상기 접지 어셈블리의 상기 제1 환형 부분은 수직으로 이동하도록 구성되고, 가요성을 갖는 상기 도전성 스트랩은 상기 제1 환형 부분이 아래로 이동하는 경우 가압될 것이고 상기 제1 환형 부분이 위로 이동하는 경우 연장될 것이고, 상기 만곡된 단면 형상의 상기 제1 단부는 상기 제1 환형 부분에 나사 (screw) 들에 의해 클램핑되고 상기 만곡된 단면 형상의 상기 제2 단부는 상기 제2 환형 부분에 나사들에 의해 클램핑된, 챔버.
  15. 제11항에 있어서,
    상기 도전성 스트랩은 판금 구리로부터 정의된, 챔버.
  16. 제11항에 있어서,
    상기 도전성 스트랩은, 플라즈마의 영역에 근접한 상기 제1 환형 부분과 상기 챔버의 챔버 벽의 접지에 커플링되는 상기 제2 환형 부분 사이의 도전성 통로를 제공하는, 챔버.
  17. 제11항에 있어서,
    상기 제1 환형 부분은 L 형상 단면을 갖고 상기 제2 환형 부분은 L 형상 단면을 갖고, 상기 L 형상들의 장측부는 관형부들을 정의하고 상기 L 형상들의 단측부는 상기 정전 척으로부터 멀어지는 연장부들을 정의하고, 상기 공간은 상기 연장부들을 정의하는 상기 L 형상의 단측부들 사이에 있는, 챔버.
  18. 제17항에 있어서,
    상기 제1 환형 부분과 상기 제2 환형 부분의 상기 관형부들은 초점 링 어셈블리의 유전체 링들에 평행하고, 상기 초점 링 어셈블리는 상기 정전 척을 직접적으로 둘러싸고 상기 접지 어셈블리는 상기 초점 링 어셈블리를 둘러싸는, 챔버.
  19. 제11항에 있어서,
    에칭 동작은 완성된 집적 회로 디바이스의 적어도 하나의 양태를 만들도록 상기 챔버에 의해 수행되는, 챔버.
KR1020147017413A 2011-11-24 2012-11-21 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버 KR102011535B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161563545P 2011-11-24 2011-11-24
US61/563,545 2011-11-24
PCT/US2012/066425 WO2013078434A1 (en) 2011-11-24 2012-11-21 Plasma processing chamber with flexible symmetric rf return strap

Publications (2)

Publication Number Publication Date
KR20140096386A true KR20140096386A (ko) 2014-08-05
KR102011535B1 KR102011535B1 (ko) 2019-08-16

Family

ID=48465746

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147017413A KR102011535B1 (ko) 2011-11-24 2012-11-21 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버

Country Status (6)

Country Link
US (1) US9508530B2 (ko)
KR (1) KR102011535B1 (ko)
CN (1) CN104011838B (ko)
SG (1) SG11201402447TA (ko)
TW (1) TWI512781B (ko)
WO (1) WO2013078434A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022245087A1 (ko) * 2021-05-18 2022-11-24 주식회사 에스엠티 스트랩 및 그 제조 방법
KR20220156411A (ko) * 2021-05-18 2022-11-25 주식회사 에스엠티 스트랩 및 그 제조 방법

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
KR20170125419A (ko) * 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9957615B2 (en) * 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
CN104637766B (zh) * 2013-11-14 2017-02-08 中微半导体设备(上海)有限公司 反应腔结构及半导体等离子处理系统
US10269573B2 (en) * 2014-03-31 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
CN108538745B (zh) * 2017-03-01 2022-01-07 北京北方华创微电子装备有限公司 反应腔室
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
WO2021154492A1 (en) * 2020-01-30 2021-08-05 Lam Research Corporation Impedance match with an elongated rf strap
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020102858A1 (en) * 1998-03-31 2002-08-01 Thomas E. Wicker Low contamination high density plasma etch chambers and methods for making the same
US20020170881A1 (en) * 2001-05-16 2002-11-21 Lam Research Hollow anode plasma reactor and method
US20090200269A1 (en) * 2008-02-08 2009-08-13 Lam Research Corporation Protective coating for a plasma processing chamber part and a method of use
KR20090106612A (ko) * 2007-01-17 2009-10-09 램 리써치 코포레이션 용량-결합형 rf 플라즈마 반응기에서 전극 갭을 조정하는 장치
US20110100552A1 (en) * 2009-08-31 2011-05-05 Rajinder Dhindsa Radio frequency (rf) ground return arrangements
KR20110123762A (ko) * 2009-02-04 2011-11-15 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세스를 위한 접지 귀환

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US5183990A (en) 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5349271A (en) 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6841203B2 (en) 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432260B1 (en) 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
US6337460B2 (en) 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
WO2002013225A2 (en) 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20030027428A1 (en) 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
KR100450068B1 (ko) 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
WO2003073489A1 (fr) 2002-02-28 2003-09-04 Tokyo Electron Limited Dispositif de traitement a plasma et unite d'alimentation
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
FR2838020B1 (fr) 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7256132B2 (en) 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US20040040503A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6887317B2 (en) 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7163602B2 (en) 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US8409400B2 (en) 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US7455748B2 (en) 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7464662B2 (en) 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006004045A1 (ja) 2004-07-05 2006-01-12 Tokyo Electron Limited 処理装置及びヒーターユニット
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7393432B2 (en) 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US20060237138A1 (en) 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
US8674255B1 (en) 2005-12-08 2014-03-18 Lam Research Corporation Apparatus and method for controlling etch uniformity
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US20070170155A1 (en) 2006-01-20 2007-07-26 Fink Steven T Method and apparatus for modifying an etch profile
US7619179B2 (en) 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7432467B2 (en) 2006-03-28 2008-10-07 Tokyo Electron Limited Plasma processing apparatus
US8138445B2 (en) 2006-03-30 2012-03-20 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7829815B2 (en) 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
KR20090106617A (ko) 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US7552736B2 (en) 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
WO2008154222A1 (en) 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
KR100992392B1 (ko) * 2008-05-09 2010-11-05 주식회사 디엠에스 플라즈마 반응장치
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
US8780522B2 (en) 2008-07-07 2014-07-15 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8070925B2 (en) 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
WO2010065474A2 (en) * 2008-12-03 2010-06-10 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8900471B2 (en) * 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101723253B1 (ko) 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120086541A1 (en) 2010-10-08 2012-04-12 Cooper Technologies Company Solid-core surge arrester
US8618446B2 (en) 2011-06-30 2013-12-31 Applied Materials, Inc. Substrate support with substrate heater and symmetric RF return
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9881772B2 (en) 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020102858A1 (en) * 1998-03-31 2002-08-01 Thomas E. Wicker Low contamination high density plasma etch chambers and methods for making the same
US20020170881A1 (en) * 2001-05-16 2002-11-21 Lam Research Hollow anode plasma reactor and method
KR20090106612A (ko) * 2007-01-17 2009-10-09 램 리써치 코포레이션 용량-결합형 rf 플라즈마 반응기에서 전극 갭을 조정하는 장치
US20090200269A1 (en) * 2008-02-08 2009-08-13 Lam Research Corporation Protective coating for a plasma processing chamber part and a method of use
KR20110123762A (ko) * 2009-02-04 2011-11-15 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세스를 위한 접지 귀환
US20110100552A1 (en) * 2009-08-31 2011-05-05 Rajinder Dhindsa Radio frequency (rf) ground return arrangements

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022245087A1 (ko) * 2021-05-18 2022-11-24 주식회사 에스엠티 스트랩 및 그 제조 방법
KR20220156411A (ko) * 2021-05-18 2022-11-25 주식회사 에스엠티 스트랩 및 그 제조 방법

Also Published As

Publication number Publication date
WO2013078434A1 (en) 2013-05-30
US9508530B2 (en) 2016-11-29
SG11201402447TA (en) 2014-06-27
TW201340164A (zh) 2013-10-01
KR102011535B1 (ko) 2019-08-16
TWI512781B (zh) 2015-12-11
CN104011838A (zh) 2014-08-27
CN104011838B (zh) 2016-10-05
US20130133834A1 (en) 2013-05-30

Similar Documents

Publication Publication Date Title
KR102011535B1 (ko) 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
KR102098698B1 (ko) 플라즈마 처리 장치
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
TWI435664B (zh) 使用多頻率射頻電力之混合射頻電容性及電感性耦合電漿源及其使用方法
JP6852974B2 (ja) エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4963293B2 (ja) 多数の処理ステーションを含む結合を除くリアクティブイオンエッチングチャンバ
KR102111919B1 (ko) 개선된 제어를 위해 dc 보조 rf 전력을 이용한 반도체 프로세싱
JP5215875B2 (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
KR102161857B1 (ko) Vhf 주파수들에서 방사 에칭 비-균일도를 튜닝하기 위한 전자 노브
US20130127124A1 (en) Peripheral rf feed and symmetric rf return with rf strap input
WO2006135909A1 (en) Confined plasma with adjustable electrode area ratio
JP2020512699A (ja) プラズマ処理装置のためのペデスタルアセンブリ
WO2008134446A1 (en) Annular baffle
JP2021141277A (ja) 載置台及びプラズマ処理装置
KR20200067104A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102068853B1 (ko) Rf 스트랩 입력을 갖는 대칭적 rf 리턴 및 주변 rf 피드
JP2008311488A (ja) 表面処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right