JP2003188094A - リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法 - Google Patents

リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法

Info

Publication number
JP2003188094A
JP2003188094A JP2002304998A JP2002304998A JP2003188094A JP 2003188094 A JP2003188094 A JP 2003188094A JP 2002304998 A JP2002304998 A JP 2002304998A JP 2002304998 A JP2002304998 A JP 2002304998A JP 2003188094 A JP2003188094 A JP 2003188094A
Authority
JP
Japan
Prior art keywords
wafer
alignment
chuck
load lock
lithographic system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002304998A
Other languages
English (en)
Other versions
JP4493070B2 (ja
JP2003188094A5 (ja
Inventor
Puerto Santiago E Del
イー. デル プエルト サンティアゴ
Stephen Roux
ロウクス スティーブン
Justin L Kreuzer
エル. クルーザー ジャスティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML US Inc
Original Assignee
ASML US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML US Inc filed Critical ASML US Inc
Publication of JP2003188094A publication Critical patent/JP2003188094A/ja
Publication of JP2003188094A5 publication Critical patent/JP2003188094A5/ja
Application granted granted Critical
Publication of JP4493070B2 publication Critical patent/JP4493070B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7096Arrangement, mounting, housing, environment, cleaning or maintenance of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 ロボットによって生じるアライメントの喪失
を回避し、同時にシステムのスループットを改善する。 【解決手段】 本発明のリソグラフィシステムは、リソ
グラフィシステムであって、リソグラフィのパターニン
グチャンバと、上記リソグラフィのパターニングチャン
バに結合されたウェハ交換チャンバと、第2のゲートバ
ルブによって上記ウェハ交換チャンバから分離された少
なくとも1つのアライメントロードロックであって上記
少なくとも1つのアライメントロードロックはウェハの
位置を合わせるアライメントステージを含む少なくとも
1つのアライメントロードロックとを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、リソグラフィシス
テム内で用いる、ウェハを処理するシステムおよび方法
に関する。具体的には、本発明は、ウェハをチャックに
固定および位置合わせしている状態で、リソグラフィシ
ステム内で搬送し、これにより、製造スループットを最
大にする、ウェハを処理するシステムおよび方法に関す
る。
【0002】
【従来の技術】リソグラフィは、基板表面上にフィーチ
ャ(特徴的な形状)を作製するために用いられるプロセ
スである。このような基板は、フラットパネルディスプ
レイ、回路板、および種々の集積回路などを製造する際
に用いられる基板を含み得る。このような用途に頻繁に
用いられる基板は半導体ウェハである。例示を目的とし
て半導体ウェハの観点からこの説明を記載するが、当業
者であれば、この説明が当業者に公知の他の種類の基板
にも適用することを理解する。リソグラフィの間、ウェ
ハステージ上に配置されたウェハは、リソグラフィ装置
内に設けられた露光系によってウェハ表面上に投影され
た像に露光される。露光系はフォトリソグラフィの場合
に用いられるが、異なる種類の露光装置が特定の用途に
応じて用いられ得る。当業者に公知であるように、例え
ば、x線、イオン、電子または光子のリソグラフィはそ
れぞれ、異なる露光装置を必要とし得る。フォトリソグ
ラフィの特定の例を例示を目的としてのみ本明細書にお
いて記載する。
【0003】投影像により、層(例えば、ウェハ表面上
に堆積したフォトレジスト)の特性に変化が生じる。こ
れらの変化は、露光の間にウェハ上に投影されたフィー
チャに対応する。露光に続いて、層をエッチングして、
パターニングされた層を生成し得る。パターンは、露光
の間にウェハ上に投影されたフィーチャに対応する。次
いで、このパターニングされた層を用いて、導電層、半
導体層または絶縁層などの、ウェハ内の基底構造層の露
光された部分を除去する。次いで、所望のフィーチャが
ウェハの表面上に形成されるまで、他の工程と共にこの
プロセスを繰返す。
【0004】ステップアンドスキャン技術は、狭い結像
スロットを有する投影光学系システムと協働する。一度
にウェハ全体を露光するのではなく、一度に1つ、ウェ
ハ上に個々のフィールドを走査する。結像スロットが走
査の間フィールドを横切って移動するように、ウェハお
よびレチクルを同時に移動させることによってこれを行
う。次いで、フィールド露光ステップ間にウェハステー
ジをずらして、レチクルパターンの複数のコピーをウェ
ハ表面上に露光することを可能にする必要がある。この
ように、ウェハ上に投影された像の形状は最大化され
る。今日のリソグラフィツールは、アライメントの精度
および投影の精度が共に上がっており、最小のフィーチ
ャサイズはますます減少していくデバイスを製造するこ
とが可能である。しかし、最小のフィーチャサイズは、
リソグラフィツールのユーティリティの1つの尺度にす
ぎない。別の重要な尺度はスループットである。
【0005】
【発明が解決しようとする課題】スループットは、リソ
グラフィシステムによってパターニングされ得る一時間
あたりのウェハ数を示す。リソグラフィシステム内でウ
ェハ上に実行する必要があるあらゆるタスクは、ウェハ
をパターニングするために必要な総時間に寄与し、これ
に関連してスループットが減少する。リソグラフィシス
テム内で繰返し実行する必要がある1つの重要なタスク
はウェハのアライメントである。高いレベルのオーバー
レイの精度を達成するには、リソグラフィシステム内で
ウェハを正確に位置合わせする必要がある。残念なが
ら、アライメントの精度は通常、ロボットを備えた従来
のリソグラフィシステム内でウェハを移動する場合は常
に喪失する。
【0006】必要であるのは、従来のロボットによって
生じるアライメントの喪失を回避し、同時に、システム
のスループットを改善する、リソグラフィシステム内で
ウェハを処理するシステムおよび方法である。
【0007】
【課題を解決するための手段】本発明のリソグラフィシ
ステムは、リソグラフィシステムであって、リソグラフ
ィのパターニングチャンバと、上記リソグラフィのパタ
ーニングチャンバに結合されたウェハ交換チャンバと、
第2のゲートバルブによって上記ウェハ交換チャンバか
ら分離された少なくとも1つのアライメントロードロッ
クであって上記少なくとも1つのアライメントロードロ
ックはウェハの位置を合わせるアライメントステージを
含む少なくとも1つのアライメントロードロックとを含
む。
【0008】上記ウェハ交換チャンバは、第1のゲート
バルブによって上記リソグラフィのパターニングチャン
バから分離されていてもよい。
【0009】上記アライメントステージは、ポンプダウ
ンステージの間に上記ウェハの位置を合わせてもよい。
【0010】上記少なくとも1つのアライメントロード
ロックは、第3のゲートバルブによってトラックから分
離された双方向アライメントロードロックであってもよ
い。
【0011】上記少なくとも1つのアライメントロード
ロックは、第3のゲートバルブによってトラックから分
離された単方向アライメントロードロックであってもよ
い。
【0012】上記少なくとも1つのアライメントロード
ロックは、複数のアライメントロードロックを含んでも
よい。
【0013】上記少なくとも1つのアライメントロード
ロックは、第1の壁と、上記第1の壁から直径方向の反
対側にある第2の壁とを含み、上記第1の壁は、柱が移
動することを可能にし、かつ、回転シールの必要性をな
くすモーションフィードスルーシールを含む開口部を有
してもよい。
【0014】上記第2の壁は、柱が移動することを可能
にし、かつ、上記リソグラフィシステムの安定度を高め
ることを可能にする、モーションフィードスルーシール
を含む開口部を有してもよい。
【0015】上記複数のアライメントロードロックは、
単方向アライメントロードロックを含んでもよい。
【0016】第3のゲートバルブによって上記ウェハ交
換チャンバから分離されたロードロックを保持する予備
チャックをさらに含んでもよい。
【0017】検査波長を有する光を発する照射源、およ
び上記検査波長に反応するカメラをさらに含んでもよ
い。
【0018】上記少なくとも1つのアライメントロード
ロックは、上記検査波長を透過するロードロックのルー
フをさらに含んでもよい。
【0019】上記少なくとも1つのアライメントロード
ロックは、上記少なくとも1つのアライメントロードロ
ック内にウェハを保持するためのウェハ支持体をさらに
含んでもよい。
【0020】上記少なくとも1つのアライメントロード
ロックは、上記少なくとも1つのアライメントロードロ
ックのフロアを通って延びた柱によって、上記少なくと
も1つのアライメントロードロック外に設けられたアラ
イメントサブステージから分離されたアライメントステ
ージをさらに含んでもよい。
【0021】上記アライメントステージは、チャックを
キネマティックにマウントする複数のステージ係合機構
を含んでもよい。
【0022】上記ステージ係合機構はV型ブロックを含
んでもよい。
【0023】上記少なくとも1つのアライメントロード
ロックの上記フロアは、上記少なくとも1つのアライメ
ントロードロック内への気体の流入を防止しつつ、上記
柱が上記フロアに対して相対的に移動することを可能に
するモーションフィードスルーシールを含んでもよい。
【0024】上記モーションフィードスルーシールは、
ベローズ、エラストマーシール、テフロン(R)シー
ル、強磁性流体シールおよび磁気結合を含む群から選択
されたエレメントを含んでもよい。
【0025】少なくとも1つのチャックをさらに含んで
もよい。
【0026】上記少なくとも1つのチャックは静電チャ
ックであってもよい。
【0027】上記少なくとも1つのチャックは真空チャ
ックであってもよい。
【0028】上記少なくとも1つのチャックは複数のチ
ャックを含んでもよい。
【0029】上記少なくとも1つのチャックは、複数の
ウェハ支持体に接触することなく、上記複数のウェハ支
持体によって保持されたウェハと接触可能なように複数
の切り取り部を含んでもよい。
【0030】上記少なくとも1つのチャックは、下面上
に上記少なくとも1つのチャックをキネマティックにマ
ウントするための複数のチャック係合機構を含んでもよ
い。
【0031】上記チャック係合機構は半球であってもよ
い。
【0032】上記リソグラフィのパターニングチャンバ
は、リソグラフィのパターニングの間、ウェハを有する
チャックを保持する少なくとも1つの露光ステージを含
んでもよい。
【0033】上記少なくとも1つの露光ステージは複数
の露光ステージを含んでもよい。
【0034】本発明の方法は、リソグラフィシステム内
でウェハを処理する方法であって、(a)アライメント
ロードロック内の支持体上に上記ウェハを配置する工程
と、(b)上記アライメントロードロック内で、上記支
持体上に上記ウェハを支持した状態で、チャックに対し
て上記ウェハの位置を合わせる工程と、(c)上記ウェ
ハを上記チャックに固定する工程と、(d)ポンプダウ
ンを実行して、上記アライメントロードロック内に真空
を生成する工程とを包含する。
【0035】上記工程(d)は、上記工程(b)および
(c)のうちの少なくとも一方の工程と同時に実行され
てもよい。
【0036】上記工程(d)は、上記工程(b)および
(c)と同時に実行されてもよい。
【0037】(e)リソグラフィのパターニングチャン
バに上記チャックおよびウェハを搬送する工程と、
(f)上記ウェハ上にリソグラフィのパターニングを実
行する工程と、(g)上記ウェハおよびチャックを上記
アライメントロードロックに戻す工程と、(h)上記ウ
ェハから上記チャックを取り外す工程と、(i)上記ア
ライメントロードロックを換気する工程とをさらに包含
してもよい。
【0038】上記工程(h)および(i)は同時に実行
されてもよい。
【0039】本発明の方法は、アライメントロードロッ
ク内でウェハの位置を合わせる方法であって、(a)上
記アライメントロードロック内の支持体上に上記ウェハ
を配置する工程と、(b)上記アライメントロードロッ
ク内の上記支持体上の上記ウェハの位置および方向を監
視する工程と、(c)チャックを移動して、上記チャッ
クに対して上記ウェハの位置を合わせる工程と、(d)
上記チャックを上記ウェハと接触するように配置する工
程と、(e)上記ウェハを上記チャックに固定する工程
とを包含する。
【0040】上記工程(b)は、上記アライメントロー
ドロック内の上記支持体上の上記ウェハの位置および方
向を上記アライメントロードロック外に設けられたカメ
ラによって監視する工程をさらに包含してもよい。
【0041】上記工程(d)は、上記チャックが上記ウ
ェハを押し上げて上記ウェハが上記支持体から離れるま
で、上記チャックを上方に移動する工程をさらに包含し
てもよい。
【0042】上記チャックは静電チャックであり、上記
工程(e)は、上記静電チャックを充電する工程をさら
に包含してもよい。
【0043】本発明のリソグラフィシステムは、リソグ
ラフィのパターニングチャンバと、上記リソグラフィの
パターニングチャンバに隣接したウェハ交換チャンバ
と、上記ウェハ交換チャンバに隣接した少なくとも1つ
のアライメントチャッキングステーションと、複数のチ
ャックとを含み、ウェハは、上記複数のチャックのそれ
ぞれに固定された状態で、上記リソグラフィのパターニ
ングチャンバに向かって移動され、かつ、上記リソグラ
フィのパターニングチャンバから移動される。
【0044】一実施形態において、本発明は、リソグラ
フィのパターニングチャンバと、第1のゲートバルブに
よって上記リソグラフィのパターニングチャンバから分
離されたウェハ交換チャンバと、第2のゲートバルブに
よって上記ウェハ交換チャンバから分離された少なくと
も1つのアライメントロードロックとを有するリソグラ
フィシステムを含む。上記アライメントロードロック
は、ポンプダウンの間にウェハの位置を合わせるアライ
メントステージを含む。本発明によるアライメントロー
ドロックは、単方向または双方向であり得る。同様に、
本発明によるリソグラフィシステムは、1つまたは複数
のアライメントロードロックを含み得る。
【0045】本発明によるリソグラフィシステムはさら
に、上記ウェハ交換チャンバから分離された保持ロード
ロックを含み得る。
【0046】本発明によるリソグラフィシステムはさら
に、検査波長を有する光を発する照射源、および上記検
査波長に反応するカメラをさらに含み得る。上記アライ
メントロードロックのルーフは上記検査波長を透過する
ため、上記アライメントロードロック内に含まれた上記
ウェハの監視が可能になる。
【0047】本発明の一実施形態による上記アライメン
トロードロック内にさらに含まれるのは、ウェハを保持
するための支持体である。これらの支持体は、フックお
よびピンなどであり得る。アライメントステージはさら
に、アライメントロードロック内に設けられる。上記ア
ライメントステージは、上記アライメントロードロック
のフロアを通って延びた柱によって、上記アライメント
ロードロック外に設けられたアライメントサブステージ
から分離されている。さらに、上記アライメントロード
ロックの上記フロアは、上記アライメントロードロック
内への気体の流入を防止しつつ、上記柱が上記フロアに
対して相対的に移動することを可能にするモーションフ
ィードスルーシールを含み得る。このようなモーション
フィードスルーシールは、ベローズおよび回転シール
(例えば、強磁性流体シール)を含み得る。
【0048】本発明の一実施形態にさらに含まれるの
は、複数のチャックである。上記チャックは、静電チャ
ックまたは真空チャックであり得る。上記チャックは、
上記アライメントロードロック内において上記ウェハ支
持体を収めるための切り取り部を含み得る。上記チャッ
クは、上記アライメントステージまたは上記リソグラフ
ィのパターニングチャンバ内に設けられたステージに上
記チャックをキネマティックにマウントするためのチャ
ック係合機構をさらに含み得る。クリティカルエリアに
おいて、上記チャック係合機構は、応力およびひずみを
回避するキネマティック半球(例えば、上記リソグラフ
ィシステム内の上記種々のステージ上に設けられたV型
ブロックと係合する半球を含む)であり得る。
【0049】本発明の一実施形態において、上記リソグ
ラフィのパターニングチャンバは、複数の露光ステージ
を含み得る。
【0050】さらに開示するのは、リソグラフィシステ
ム内でウェハをパターニングする方法である。一実施形
態において、上記方法は、アライメントロードロック内
の支持体上に上記ウェハを配置する第1の工程を含む。
次の工程において、上記アライメントロードロック内
で、かつ、上記支持体上に上記ウェハを支持した状態
で、チャックに対して相対的に上記ウェハの位置を合わ
せる。別の工程において、上記ウェハを上記チャックに
固定する。さらに別の工程において、ポンプダウンを実
行して、上記アライメントロードロック内に真空を生成
する。
【0051】本発明による1方法において、上記チャッ
クに対して相対的に上記ウェハの位置を合わせると同時
に、ポンプダウンを実行し得る。同様に、上記アライメ
ント工程の後に上記チャックに上記ウェハを固定すると
同時に、ポンプダウンを実行し得る。
【0052】本発明の一実施形態による方法はさらに、
上記チャックおよびウェハを上記リソグラフィのパター
ニングチャンバに搬送する工程を含み得る。さらに、上
記リソグラフィのパターニングチャンバにおいて微調整
アライメントが必要であり得る。次に、上記ウェハ上に
リソグラフィのパターニングを実行する工程を行う。上
記リソグラフィのパターニングが完了した後、上記ウェ
ハおよびチャックは、上記アライメントロードロックエ
リアに戻される。上記アライメントロードロックに戻さ
れた後、上記ウェハから上記チャックを取り外し得、そ
して換気を実行し得る。上記換気は、上記チャックから
上記ウェハを取り外しながら行い得る。
【0053】本明細書にさらに開示するのは、アライメ
ントロードロック内のウェハの位置を合わせる方法であ
る。一実施形態において、この方法は、上記アライメン
トロードロック内の支持体上に上記ウェハを配置する第
1の工程を含む。次に、上記アライメントロードロック
内の上記支持体上の上記ウェハの位置および方向を監視
する工程を実行する。さらに実行されるのは、チャック
を移動して、上記チャックに対して相対的に上記ウェハ
の位置を合わせる工程である。上記チャックは、位置を
合わされた後、上記チャックを上記ウェハと接触するよ
うに置いて、上記ウェハに固定する。上記ウェハの位置
および方向を監視する工程を、上記アライメントロード
ロック外に設けられたカメラによって実行し得る。
【0054】
【発明の実施の形態】本明細書に組み込まれ、かつ、本
明細書の一部を形成する添付の図面は、説明と共に本発
明を例示し、本発明の原理を説明して、当業者が本発明
を製造および用いることを可能にすることにさらに役立
つ。異なる図面内で同様の参照符号は同様のエレメント
を示す。
【0055】本明細書において用いる場合、用語「ウェ
ハ」は、半導体ウェハ、またはリソグラフィックパター
ニングに適した任意の他の基板を意味する。
【0056】リソグラフィのパターニングプロセス内で
貴重な時間を取る手順は、アライメント、ポンプダウン
および静電チャックの充電がすべてである。本発明者ら
は、これら機能3つすべてを組み合わせて1つのアライ
メントロードロックステーションにし得る点を発見し
た。このような1つのアライメントロードロックステー
ションは、チャックに対して相対的にウェハの位置を合
わし、次いで、チャックに対してウェハを固定し、この
間常に、ロードロックをポンプダウンし得る。このよう
なリソグラフィシステム内に1つより多いチャックを含
むことによって、リソグラフィのパターニングの間に各
チャックにウェハを固定し、これによりスループットを
最大にし得る。
【0057】図1は、本発明によるリソグラフィシステ
ム100を示す図である。リソグラフィシステム100
は、トラック101から得られた、図において点線の円
によって示すウェハをパターニングする。トラック10
1から得られたウェハは、リソグラフィのパターニング
の前に必要に応じて、種々のプロセスを受けている。例
えば、レジスト塗布、プレベーク(pre−bak
e)、および当業者に公知の他のプロセスを、リソグラ
フィのパターニングの前にウェハ上に施す。リソグラフ
ィのパターニングの後、成長およびポストベークなどの
さらなる処理工程を行うためにウェハをトラックに戻
す。2つのゲートバルブ102、103を介して、トラ
ック101をリソグラフィシステム100に接続する。
ゲートバルブ102、103は、ゲートバルブの双方の
側で異なる大気圧を維持することが可能な当業者に公知
のタイプである。ゲートバルブ102、103は、2つ
のアライメントロードロック104、105からトラッ
ク101を分離する。
【0058】アライメントロードロック104、105
は、ゲートバルブ107、108によって、ウェハ交換
チャンバ106から分離される。ゲートバルブ107、
108は、アライメントロードロック104、105を
トラック101に接続するゲートバルブ102、103
に類似する。したがって、各アライメントロードロック
104、105は、各ゲートバルブによって、トラック
101およびウェハ交換チャンバ106から分離された
チャンバである。アライメントロードロック104、1
05は、真空および換気エレメント(図示せず)にさら
に接続される。真空および換気エレメントにより、アラ
イメントロードロックを大気圧から真空に移行すること
(ポンプダウン)、そして再度大気圧に戻すこと(換
気)が可能になる。このように、ウェハ交換チャンバ1
06を高真空で保持し、同時にトラック101を大気圧
で保持することが可能である。したがって、アライメン
トロードロック104、105は、ウェハ交換チャンバ
の中にウェハを移動し、そしてウェハ交換チャンバから
ウェハを移動する場合に、大気圧から高真空に移行する
ように機能する。本発明者らは、アライメントロードロ
ック104、105内にアライメントおよびチャッキン
グ機能を含むことによって、システム全体のスループッ
トを非常に高め得ることを発見した。図2aおよび図2
bに関して、アライメントロードロック104、105
を以下により詳細に説明する。
【0059】ウェハ交換チャンバ106は、二重エンド
エフェクターを有するロボット109を含む。ロボット
109は、真空に適合し、そしてその二重エンドエフェ
クターによって、2つのチャックを同時に処理すること
が可能である。あるいは、本開示が与えられた当業者に
明らかであるように、他の構造を用いて、アライメント
ロードロックからリソグラフィのパターニングチャンバ
まで、位置が合わされたウェハを有するチャックを搬送
し得る。例えば、単一エンドエフェクターを有するロボ
ット、または二重のロボットではない搬送機構も、本発
明の範囲から逸脱せずに用い得る。
【0060】ウェハ交換チャンバ106は、ゲートバル
ブ110によってリソグラフィのパターニングチャンバ
111に接続される。ゲートバルブ110は、本明細書
に説明する他のゲートバルブに類似する。リソグラフィ
パターニングチャンバ111はウェハステージ112、
113を含む。ウェハステージ112、113は、微調
整アライメントおよび露光プロセスにおいて、図示する
方向で移動することが可能である。したがって、リソグ
ラフィのパターニングチャンバ111は、投影光学系ま
たはリソグラフィのパターニングを実行するために必要
な他のエレメントをさらに含む。リソグラフィのパター
ニングチャンバ111は、2つのウェハステージ11
2、113を含むが、リソグラフィのパターニングチャ
ンバはウェハステージを1つ含んでもよい。図示するよ
うな二重ウェハステージ構造は、1999年11月30
日に出願された「Dual−Stage Lithog
raphy Apparatus and Metho
d」という名称の同時係属中の共有に係る米国特許出願
第09/449,630号により詳細に記載されてい
る。同文献全体を参考として本明細書において援用す
る。
【0061】リソグラフィシステム100は、保持ロー
ドロック114をさらに含む。保持ロードロック114
を用いて、予備チャックを保持したり、またはリソグラ
フィのパターニングを維持しながらリソグラフィシステ
ム内でチャックを交換する。これにより、例えば、保持
ロードロック内で保持されているチャックにアクセスし
て洗浄することが可能になる。保持ロードロック114
は、ゲートバルブ1115および116も含む。保持ロ
ードロックは、リソグラフィのパターニングを止めずに
チャック交換を可能にするため、あることが好適である
が省いても本発明の範囲から逸脱しない。
【0062】アライメントロードロック104、105
および保持ロードロック114はすべて好適には双方向
ロードロックであるが、単方向ロードロックを用いても
本発明の範囲から逸脱しない。単方向ロードロックは、
ウェハ挿入またはウェハ取出しのいずれかのみが可能で
ある。しかし、双方向ロードロックは、ウェハ挿入およ
びウェハ取出しの両方が可能である。
【0063】例えば、トラック101から単方向アライ
メントロードロックまで、そして次いで、パターニング
チャンバ111までウェハを移動すると、ウェハをパタ
ーニングした後に、同じ単方向アライメントロードロッ
クにウェハを移動することはできない。逆に、リソグラ
フィのパターニングチャンバ内でパターニングプロセス
を完了した後、別のアライメントロードロックに、そし
て次いでトラック101にウェハを戻す必要がある。
【0064】対照的に、トラック101から双方向アラ
イメントロードロックまで、そして次いで、リソグラフ
ィのパターニングチャンバ111までウェハを移動する
と、パターニングの後に、同じ双方向アライメントロー
ドロックを介してトラック101にウェハを移動し得
る。
【0065】2つの双方向アライメントロードロックを
用いることは、システムのスループットを上げることを
可能にするため有利であるが、単方向ロードロックを2
つ用いてもよい。同様に、双方向アライメントロードロ
ックを1つ用いても本発明の範囲から逸脱しない。アラ
イメントロードロック104、105それぞれの詳細な
構造および機能をここで図2Aおよび図2Bを参照して
説明する。
【0066】図2Aおよび図2Bは共に、本発明による
アライメントロードロック内のエレメントの分解図を構
成する。図2Aは本発明によるアライメントロードロッ
クの上部分に対応し、図2Bは本発明によるアライメン
トロードロックの下部分に対応する。アライメントロー
ドロックの壁は、図2Aにも図2Bにも図示しない。
【0067】アライメントロードロックの屋根201
は、気密性の透明または半透明の窓である。カメラ20
2および照射源203をアライメントロードロックの屋
根201の上に配置する。「半透明」が意味すること
は、アライメントロードロックの屋根201が、照射源
203から発せられる光の検査波長(これに対して、カ
メラ202は敏感である)に対して少なくとも透明であ
ることである。アライメントロードロック内にはウェハ
支持体204、205、206がある。これらのウェハ
支持体204〜206を用いてウェハ207を保持す
る。ウェハ支持体204〜206を図面においてフック
として示すが、当業者に明らかであるように、ウェハ支
持体204〜206はピンまたは他の支持機構も含み得
る。さらなるロボットを用いて、トラック101からウ
ェハ支持体204〜206上にウェハ207を配置す
る。さらなるロボットは、トラックシステムの通常の部
分である(図示せず)。さらに、ノッチ208または他
の所望のフィーチャをカメラ202のビューフィールド
209内に位置付けるように、ウェハ207は前荒調整
アライメントを受け得る。カメラ202のビューフィー
ルド209は、照射源203の照射フィールド内210
にある。当業者に公知の様態でこのような前荒調整アラ
イメントを達成し得る。例えば、光電センサを用いて、
ウェハを回転させ、そしてノッチを位置付けるトラック
内のモジュールによってこのような前荒調整アライメン
トを実行し得る。図示するアライメントロードロック内
にさらに含まれるのは、チャックの切り取り部212、
213および214を有するチャック211である。ウ
ェハ支持体204〜206がこれらのチャックの切り取
り部212〜214内に納まり得るように、チャックの
切り取り部212〜214はチャックの移動範囲に合う
ように十分に大きい。したがって、チャックの切り取り
部212〜214がウェハ支持体204〜206とほぼ
一列になる。
【0068】図2Bは、アライメントロードロックの底
部分に対応する。具体的には、モーションフィードスル
ーシール217を有するアライメントロードロックのフ
ロア216は、アライメントロードロックの底部分に設
けられる。モーションフィードスルーシール217によ
り、柱230(この上にアライメントステージ218が
配置される)が、ロードロックのフロアに対して相対的
に移動し、同時に気体がロードロック内に流れることを
防ぐことが可能になる。図示する特定の実施形態におい
て、モーションフィードスルーシール217は、図3を
参照して以下により詳細に説明するベローズを含む。あ
るいは、移動可能なシールまたは強磁性流体シールなど
の他の種類のモーションフィードスルーシールを用いて
も本発明の範囲から逸脱しない。
【0069】アライメントステージ218は、ステージ
係合機構219、220および221を含む。ステージ
係合機構を用いて、チャック係合機構222〜224が
その下表面に設けられたチャック211にキネマティッ
クに(kinematically)マウントする。ク
リティカルエリアにおいて、チャック係合機構は、応力
およびひずみを回避するキネマティック半球(例えば、
リソグラフィシステム内の種々のステージ上に設けられ
たV型ブロック219〜221と係合する半球を含む)
であり得る。図示する実施形態において、ステージ係合
機構219〜221は、キネマティックマウントの底半
分を構成するV型ブロック219〜221を含む。同様
に、図示する実施形態において、チャック係合機構22
2〜224は、キネマティックマウントの上半分を構成
する半球を含む。この説明を与えられた当業者に明らか
であるように、他の種類のキネマティックマウントを用
いても本発明の範囲から逸脱しない。
【0070】図示する実施形態において、チャック21
1は、延長した時間期間の間、ウェハを保持するに十分
な電荷を維持することが可能な静電チャックである。し
かし、一実施形態において、チャック211は真空チャ
ックである。アライメントステージ218は、ポゴコン
タクト226および227を有するコンタクトブロック
225をさらに含む。ポゴコンタクト226、227を
用いて、チャック211の底部に配置されたコンタクト
パッド228および229と電気接触させる。一実施形
態において、ポゴコンタクト226および227は、金
属チューブからできたばね荷重コンタクトである。金属
チューブは金属バーを備えたばねを含む。金属バーはコ
ンタクトパッド228および229と接触する。チャッ
ク211は、ポゴコンタクト226および227に接続
されると、コンタクトパッド228および229を介し
て充電および放電される。本発明を静電チャックの観点
から説明するが、他のチャックを用いても本発明の範囲
から逸脱しない。例えば、当業者に明らかであるよう
に、真空チャック、機械クランピング、およびウェハを
チャックに固定する他の手段を用いてもよい。高真空環
境においては超紫外線処理が起こるため、静電チャック
が好適である。
【0071】柱230の上にアライメントステージ21
8を配置する。アライメントサブステージ231の上に
柱230を配置する。アライメントサブステージ231
は、アライメントサブステージマウント232によって
保持される。この説明を与えられた当業者に明らかであ
るように、さらなるモーターおよび制御エレメント(図
示せず)を用いて、4つの自由度(回転、2つの水平並
進、および垂直並進)で、および図の矢印によって示す
ようにアライメントステージを移動する。モーションフ
ィードスルーシール217は、アライメントサブステー
ジ231、アライメントサブステージマウント232、
およびリソグラフィシステムの残りの部分から、アライ
メントロードロック内の高真空環境を分離するように機
能する。
【0072】アライメントロードロック内のエレメント
の動作をここで説明する。チャック211およびウェハ
207はアライメントロードロックの一体部分ではない
ことに留意されたい。むしろ、チャック211は、リソ
グラフィシステム100内で用いられる複数の同様のチ
ャックのうちの1つである。同様に、ウェハ207は、
図1に示すシステムのリソグラフィのパターニングチャ
ンバ111内でリソグラフィのパターニングを施すため
に、トラック101から得られる。上述したように、ウ
ェハ207は、ウェハ支持体204〜206上に配置す
る前に、荒調整アライメントを受けている可能性があ
る。カメラ202のビューフィールド209内にノッチ
208を位置付けるために、この荒調整アライメントを
実行し得る。カメラ202がノッチ208を捉え得るた
め、カメラ202は、ビューフィールド209内で見え
る曲率半径からウェハの中心まで、およびノッチ208
の位置からウェハの方向の両方を決定し得る。この点に
関して、図2Aにはカメラ202を1つ示すが、このよ
うなカメラおよび光源203を複数用いても本発明の範
囲から逸脱しないことに留意されたい。ノッチの位置2
08およびウェハ206の曲率半径を決定する際にカメ
ラ202を用いるため、本開示を与えられた当業者に明
らかであるように、1つより多いカメラを用いると監視
の精度が上がり得る。最良の結果は、直径の対向線上に
配置した2つのカメラ(すなわち、ウェハに対して等し
く間隔を取ったカメラ)を用いることによって得られ
る。
【0073】カメラ202は、ビューフィールド209
を捉えてウェハ位置207を決定する。次いで、このウ
ェハ位置は、カメラ202によってパターン識別装置2
33(図示せず)に出力される。パターン識別装置は、
位置情報をアライメントサブステージ232に送信し得
る。パターン識別装置は、ウェハ207の正確な方向お
よび位置を知っているため、アライメントサブステージ
231およびアライメントサブステージマウント232
を介して、アライメントステージ218の位置を制御し
得る。チャック211がウェハ207と位置が合わされ
た後、チャック211は上方に移動されて、ウェハ20
7と接触する。チャック211は、ウェハ207と接触
した後、アライメントステージ218のコンタクトブロ
ック225におけるポゴコンタクト226および227
と接触したコンタクトパッド228および229を介し
て充電される。チャック211は充電される前にウェハ
207と位置が合わされているため、ウェハ207は、
電荷によってチャック211と接触した状態がしっかり
と保持される。リソグラフィのパターニングチャンバ1
11内の各ウェハステージ112、113はキネマティ
ックマウントを含むため、リソグラフィのパターニング
チャンバ内のウェハステージ112、113上にチャッ
クを配置する再現性は、キネマティックマウントの精度
に制限される。V型ブロックおよび半球を用いる図示す
るキネマティックマウントは約2ミクロンの再現性を有
する。チャック211がリソグラフィシステム100内
で静電荷を維持し得るため、ウェハ(例えば、ウェハ2
07)のアライメントは常に、用いられるキネマティッ
クマウントの再現性内である。
【0074】図1に戻って、ウェハがアライメントロー
ドロック104または105のいずれかの中にある場
合、アライメントロードロックがポンプダウンを受けて
いる間に、アライメント動作およびチャッキング動作を
実行し得ることは、図2Aおよび図2Bに関して上述し
た説明から明らかである。アライメントロードロック1
04またはアライメントロードロック105内でウェハ
がチャックに対して位置が合わせられ、このチャックに
マウントされ、そしてポンプダウンが完了した後、ゲー
トバルブ107または108が開き得る。この時点で、
ロボット109は、いずれかのアライメントロードロッ
ク内からチャックおよびウェハを共に上げて、これをリ
ソグラフィのパターニングチャンバ111に移動させ得
る。ロボット109はグリッパーを含むため、同時に2
つのチャックを保持し得る。したがって、ロボット10
9は、いずれかのアライメントロードロックステーショ
ンといずれかのウェハステージと間で迅速にチャックを
交換し得る。
【0075】図3Aは、本発明のリソグラフィシステム
内のフロアにマウントされたモーションフィードスルー
300を示す。ベローズ302により、ロードロック内
で真空を維持しながら、ロードロックのフロア216に
対してシャフト230が垂直並進および水平並進を行う
ことが可能になる。ベローズ302は、複数の金属、好
適には、その周辺部および端部内が溶接されているステ
ンレス鋼ディスクを含む。これらのベローズにより、真
空密閉を維持しながら、6つの自由度で柱230が移動
することが可能になる。回転シール304により、真空
を維持しながらシャフト230の回転が可能になる。ベ
アリング306は、シャフトフランジ308を捉えて、
大気圧に起因したベローズのへこみを防止する。シール
304はエラストマーシール、プレロードテフロン
(R)シール、または強磁性流体シールであり得ること
が当業者に明らかである。304〜308によって達成
される回転移動の移動は磁気結合を介しても達成され得
ることが明らかである。
【0076】図3Bは、本発明のリソグラフィシステム
内の壁にマウントされたモーションフィードスルー35
0を示す。チャンバ壁354にマウントされたベローズ
352により、アライメントステージ218の垂直並進
および水平並進が可能になる。ベローズ352によりさ
らに、アライメントステージ218がその中心ライン3
56周辺において限られた量だけ回転することが可能に
なる。この構成は、回転シールを必要とせず、したがっ
て、図3Aの装置より漏出する傾向が低くなる。しか
し、図3Aの回転シール304によっては無制限の回転
が可能になるのに対し、ベローズ352によっては数個
の回転の自由度しか可能にならない。ロードロック内に
ウェハを導入する前に、(トラックによって)ウェハ上
に荒調整アライメント工程を実行する場合、限定された
量の回転で十分である。本発明から逸脱せずに、第2の
壁にマウントされたフィードスルー機構を、反対側の壁
の穴を介して見える機構から直径方向に反対に追加し
て、装置の機械的安定性を向上し得ることが理解され
る。
【0077】図4Aは、本発明による少なくとも1つの
双方向アライメントロードロックを用いたリソグラフィ
システム内でウェハをパターニングする方法400を示
す。このようなシステムは、双方向アライメントロード
ロックを1つのみまたは複数の双方向アライメントロー
ドロックを含んで、効率およびスループットを上げ得る
ことに留意されたい。双方向アライメントロードロック
システムを用いた実施形態において、単方向アライメン
トロードロックシステムを用いた実施形態とは異なり、
双方向アライメントロードロック(単数または複数)
は、リソグラフィシステムに入ると(挿入)、トラック
101からウェハを受け取ることができ、さらに、パタ
ーニングの後にウェハがそこに入り、そしてそこからト
ラック101に追い戻すこと(取出し)を可能にする。
すなわち、ウェハは、トラック101から双方向アライ
メントロードロックに移動され、双方向アライメントロ
ードロックからパターニングチャンバに移動され、パタ
ーニングの後、パターニングチャンバから同じ双方向ア
ライメントロードロックに移動され、次いで、双方向ア
ライメントロードロックからトラック101まで移動さ
れ得る。
【0078】図4Aの方法400の第1の工程410に
おいて、双方向アライメントロードロック(単数または
複数)内のウェハ支持体上にウェハを配置する。図1に
関して上述したように、双方向アライメントロードロッ
ク(単数または複数)内の支持体上にウェハを配置する
前に、トラックからウェハを取り出し得る。双方向アラ
イメントロードロック(単数または複数)内の支持体上
にウェハを配置することは、例えば、ロボットによって
達成され得る。図2Aに関して上述したように、双方向
アライメントロードロック(単数または複数)内のウェ
ハ支持体は、フックおよびピンなどを含み得る。さら
に、図1に関して上述したように、双方向アライメント
ロードロック(単数または複数)は、ウェハ搬送チャン
バからトラックを分離するゲートバルブを備えた、従来
のロードロックチャンバを含み得る。高真空で動作する
リソグラフィシステムにおいて、このようなウェハ搬送
チャンバは高真空で維持され、一方トラックは大気圧で
維持される。したがって、双方向アライメントロードロ
ック(単数または複数)を用いて、大気圧に装置全体を
さらさせずに、リソグラフィ装置自体内の高真空環境へ
とウェハを移動させ、そして高真空環境からウェハを移
動させる。
【0079】次の工程420において、チャックに対し
てウェハの位置を合わせる。本明細書のいたるところで
説明するように、チャックは静電チャック、真空チャッ
ク、または他の機械的クランピング機能を備えたチャッ
クであり得る。次の工程421において、位置が合わせ
られたウェハをチャックに固定する。チャック421に
位置が合わせられたウェハを固定することは、チャック
を上方に移動してウェハと接触させて、次いで、静電チ
ャックの場合には、静電チャックを充電し、これによ
り、ウェハをチャックに固定することによって達成され
得る。このような充電は、アライメントステージ(静電
チャックの底表面上のパッドと接触している)上のポゴ
コンタクトの使用を介して達成され得る。工程420お
よび421のさらなる詳細を、図5に関して以下により
詳細に説明する。
【0080】工程425において、工程420および4
21のうちの少なくとも1つまたは両方と同時に、双方
向アライメントロードロック(単数または複数)内でポ
ンプダウンが実行される。当業者に公知であるように、
ポンプダウンは、ロードロックが気体を抜かれ、したが
って、ロードロックを大気圧から高真空にもっていく手
順である。本明細書のいたるところで説明するように、
本発明者らは、ポンプダウン動作を、チャックに対する
ウェハのアライメント、およびチャックに対するウェハ
の固定と同時に実行することによって、本発明によるリ
ソグラフィシステム内でより大きなスループットを実現
し得ることを発見した。
【0081】次の工程430において、位置が合わせら
れたウェハを有するチャックは、リソグラフィのパター
ニングチャンバに搬送される。図1に関して上述したよ
うに、双方向アライメントロードロック(単数または複
数)からリソグラフィのパターニングチャンバまでチャ
ックを搬送することは、双方向アライメントロードロッ
ク(単数または複数)とリソグラフィのパターニングチ
ャンバとの間に設けられたウェハ交換チャンバ内に設け
られたロボットによって達成され得る。このようなロボ
ットは二重エンドディフェクターを有して、双方向アラ
イメントロードロック(単数または複数)とリソグラフ
ィのパターニングチャンバとの間のチャックの搬送の効
率を上げることを実現し得る。あるいは、本開示を与え
られた当業者に明らかであるように、他の構造を用い
て、双方向アライメントロードロック(単数または複
数)からリソグラフィのパターニングチャンバまで位置
が合わせられたウェハを有するチャックを搬送し得る。
例えば、露光ステージのキネマティックマウント上にチ
ャックおよびウェアを配置し得る。
【0082】位置が合わせられたウェハを有するチャッ
クがリソグラフィのパターニングチャンバ内に配置され
ると、次の工程440において、リソグラフィのパター
ニングが実行される。当業者に公知であるように、この
ようなリソグラフィのパターニングは、最終のアライメ
ント工程、およびリソグラフィのパターニング内で用い
られるさらなる工程を含み得る。
【0083】次の工程450において、処理されたウェ
ハを有するチャックを、露光ステージのキネマティック
マウントから取り外して、リソグラフィのパターニング
チャンバから双方向アライメントロードロック(単数ま
たは複数)に移動する。工程430に関して上述したよ
うに、リソグラフィのパターニングチャンバから双方向
アライメントロードロック(単数または複数)まで処理
されたウェハを有するチャックを搬送することは、ウェ
ハ交換チャンバ内に設けられたロボットによって実行さ
れ得る。さらに、パターニングされたウェハを有するチ
ャックは、システムに入ったのと同じ双方向アライメン
トロードロック(単数または複数)へと戻され得る。
【0084】次の工程460において、処理されたウェ
ハは、双方向アライメントロードロック(単数または複
数)内のチャックから取り外される。上述したように、
この工程は、プロセス工程421の実質的に逆である。
したがって、パターニングされたウェハを有するチャッ
クが双方向アライメントロードロック(単数または複
数)に戻された後、チャックは離され得る。チャック
は、離された後、ウェハから下方へと引き下げられる。
これにより、ウェハはウェハ支持体によって保持される
状態になる。換気動作は、工程460と共に工程465
で実行される。換気は、双方向アライメントロードロッ
ク(単数または複数)内の圧力を高真空から大気圧にす
るプロセスである。工程420、421および425と
同様、換気工程465は工程460と同時に実行され
る。ポンプダウンプロセスと同様、チャックからウェハ
を取り外す間に換気を実行すると、本発明によるリソグ
ラフィシステムのスループットがさらに上がる。
【0085】最終工程470において、ここで双方向ア
ライメントロードロック(単数または複数)からパター
ニングされたウェハを取り外して、トラック上に配置す
る。あるいは、リソグラフィ装置からウェハを移動する
ために用いられる別の構造上にウェハを置いてもよい。
当業者に明らかであるように、図4の方法400の最終
工程470を実行した後、リソグラフィシステムは、第
1の工程410の前の状態に戻される。したがって、方
法400は、複数のウェハのリソグラフィのパターニン
グを行うために無限に繰り返され得る。
【0086】図4Bは、本発明による単方向アライメン
トロードロック(単数または複数)を用いたリソグラフ
ィシステム内でウェハをパターニングする方法472を
示す。図4Bの方法472の第1の工程474におい
て、ウェハは挿入アライメントロードロック内のウェハ
支持体上に配置される。ウェハはシステムに入ったのと
同じアライメントロードロックを介してシステムを出な
いため、挿入アライメントロードロックは単方向であ
る。逆に、ウェハはパターニングチャンバ内でパターニ
ングを受けて、取出しアライメントロードロックを介し
てシステムを出た(すなわち、トラック101に移動さ
れた)後、別のアライメントロードロック(取出しアラ
イメントロードロック)に戻される。図1に関して上述
したように、ウェハは、挿入アライメントロードロック
内の支持体上に配置される前にトラックから取り出され
得る。挿入アライメントロードロック内の支持体上にウ
ェハを配置することは、例えば、ロボットで達成され得
る。
【0087】図2Aに関して上述したように、挿入アラ
イメントロードロック内のウェハ支持体は、フックおよ
びピンなどを含み得る。さらに、図1に関して上述した
ように、挿入アライメントロードロックは、ウェハ搬送
チャンバからトラックを分離するゲートバルブを備え
た、従来の挿入ロードロックチャンバを含み得る。高真
空で動作するリソグラフィシステムにおいて、このよう
なウェハ搬送チャンバは高真空で維持され、一方トラッ
クは大気圧で維持される。したがって、挿入アライメン
トロードロックを用いて、大気圧に装置全体をさらさせ
ずに、リソグラフィ装置自体内の高真空環境へとウェハ
を移動する。
【0088】次の工程476において、ウェハはチャッ
クに対して位置が合わせられる。本明細書のいたるとこ
ろで説明するように、チャックは静電チャック、真空チ
ャック、または他の機械的クランピング機能を備えたチ
ャックであり得る。次の工程478において、位置が合
わせられたウェハをチャックに固定する。チャックに位
置が合わせられたウェハを固定することは、チャックを
上方に移動してウェハと接触させて、次いで、静電チャ
ックの場合には、静電チャックを充電し、これにより、
ウェハをチャックに固定することによって達成され得
る。このような充電は、アライメントステージ(静電チ
ャックの底表面上のパッドと接触している)上のポゴコ
ンタクトの使用を介して達成され得る。工程476およ
び478のさらなる詳細を、図5に関して以下により詳
細に説明する。
【0089】工程480において、工程476および4
78のうちの少なくとも1つまたは両方と同時に、挿入
アライメントロードロック内でポンプダウンが実行され
る。
【0090】次の工程482において、位置が合わせら
れたウェハを有するチャックは、リソグラフィのパター
ニングチャンバに搬送される。図1に関して上述したよ
うに、挿入アライメントロードロックからリソグラフィ
のパターニングチャンバまでチャックを搬送すること
は、挿入アライメントロードロックとリソグラフィのパ
ターニングチャンバとの間に設けられたウェハ交換チャ
ンバ内に設けられたロボットによって達成され得る。あ
るいは、本開示を与えられた当業者に明らかであるよう
に、他の構造を用いて、挿入アライメントロードロック
からリソグラフィのパターニングチャンバまで位置が合
わせられたウェハを有するチャックを搬送し得る。例え
ば、露光ステージのキネマティックマウント上にチャッ
クおよびウェアを配置し得る。
【0091】位置が合わせられたウェハを有するチャッ
クをリソグラフィのパターニングチャンバ内に置いた
後、次の工程484において、リソグラフィのパターニ
ングを実行する。当業者に公知であるように、このよう
なリソグラフィのパターニングは、最終のアライメント
工程、およびリソグラフィのパターニング内で用いられ
るさらなる工程を含み得る。
【0092】次の工程486において、処理されたウェ
ハを有するチャックを、露光ステージのキネマティック
マウントから取り外して、リソグラフィのパターニング
チャンバから取出しアライメントロードロックに移動す
る。取出しアライメントロードロックは挿入アライメン
トロードロックと同じアライメントロードロックではな
いことに留意されたい。ウェハは、リソグラフィのパタ
ーニングチャンバを出た後、取出しアライメントロード
ロックのみを介して移動され、そしてトラック101に
戻される必要がある。工程482に関して上述したよう
に、リソグラフィのパターニングチャンバから取出しア
ライメントロードロックまで処理されたウェハを有する
チャックを搬送することは、ウェハ交換チャンバ内に設
けられたロボットによって実行され得る。
【0093】次の工程488において、処理されたウェ
ハは取出しアライメントロードロック内のチャックから
取り外される。上述したように、この工程は、プロセス
工程478の実質的に逆である。したがって、処理され
たウェハを有するチャックが取出しアライメントロード
ロックに移動された後、チャックは離され得る。チャッ
クは、離された後、ウェハから下方へと引き下げられ
る。これにより、ウェハはウェハ支持体によって保持さ
れる状態になる。工程488と同時に、換気動作は、共
同工程490で実行される。工程476、478および
480と同様、換気工程480は工程476と同時に実
行される。
【0094】最終工程492において、ここで取出しア
ライメントロードロックから処理されたウェハを取り外
して、トラック上に配置する。あるいは、リソグラフィ
装置からウェハを移動させるために用いられる別の構造
上にウェハを置いてもよい。当業者に明らかであるよう
に、図4の方法472の最終工程492を実行した後、
リソグラフィシステムは、第1の工程474の前の状態
に戻される。したがって、方法472は、複数のウェハ
のリソグラフィのパターニングを行うために無限に繰り
返され得る。
【0095】図5は、本発明によるアライメントロード
ロック内でウェハの位置を合わせる方法500を示す。
第1の工程510において、ウェハをウェハ支持体上に
配置する。本明細書のいたるところで説明するように、
このようなウェハ支持体は、フックおよびピンなどを含
み得る。本明細書のいたるところで説明するように、当
業者にとって明らかであるように、ロボットまたは他の
ウェハ搬送メカニズムの使用を介して、ウェハ支持体上
にウェハを置き得る。
【0096】次の工程520において、ウェハの方向お
よび位置が監視される。図2Aに関して上述したよう
に、例えば、アライメントロードロックの外側に設けら
れたカメラおよび照射源を用いて、このような監視を行
い得る。ウェハの位置は、カメラのビューフィールド内
で監視されるウェハの曲率半径を分析することによって
カメラによって監視される。ウェハに関して本明細書に
おいて用いる「位置」という用語は、XY平面内のウェ
ハの位置を意味する。したがって、ウェハの曲率半径を
見ることによって、ウェハの中心の位置がパターン識別
装置を用いて決定され得る。本明細書において説明する
タイプと同様のカメラおよび照射源に関するこのような
パターン識別装置およびその動作は当業者に周知であ
る。
【0097】ウェハの特定の方向(すなわち、その中心
周辺の角方向)は、カメラのビューフィールド内にさら
に設けられたウェハ内のノッチ位置に注意することによ
って決定される。最初の監視の際に、カメラのビューフ
ィールド内にノッチを設けることを保証するには、図5
に示す方法の前に荒調整アライメントを行い得る。この
ような荒調整アライメントは、例えば、トラック内に設
けられ得るエッジセンサを備えたウェハ回転モジュール
の使用を含み得る。このような荒調整事前アライメント
技術は当業者に公知であるため、本明細書においてより
完全に説明しない。ウェハの位置および方向の監視をカ
メラ1つの観点から説明したが、ビューフィールドが狭
い複数のカメラを用いて、アライメントの精度を上げて
もよい。複数のカメラを用いてウェハの周囲に沿った異
なる視点に方向付けることによって、カメラを1つ用い
るよりも、より正確に中心位置およびノッチの方向を決
定し得る。
【0098】工程520と同時に実行され得る工程52
5において、チャックに対してウェハの位置を合わせる
ようにチャックを移動する。本明細書のいたるところで
説明するように、このようなチャックは静電チャックお
よび真空チャックなどであり得る。図2Bに関して説明
したアライメントステージの使用を介してウェハに対し
てチャックを移動する。ウェハを監視するために用いら
れたカメラからデータを受信したのと同じパターン識別
装置によってアライメントステージの移動を制御する。
パターン識別装置はウェハ上の正確な位置を知ってい
る。パターン識別装置はさらに、アライメントステージ
からの位置フィードバックの効果によって、アライメン
トステージの正確な位置を知る。カメラによってチャッ
クを直接監視することによって、パターン識別装置は、
ウェハがチャックに対して位置が合わせられるまで、ア
ライメントステージにウェハに対してチャックを移動さ
せ得る(チャックの直径はウェハの直径より意図的に少
し大きい)。
【0099】チャックおよびウェハが相互に対して位置
が合わせられた後、ウェハに接触するようにチャックを
配置する次の工程530を実行する。例えば、チャック
がウェハの底表面と物理的に接触するまで、チャックを
上方に移動することによって、これを達成し得る。図2
Aに関して説明したように、チャックは、例えば、ウェ
ハを保持するウェハ支持体を収める切り取り部を有し得
る。したがって、チャックがウェハの底表面と接触する
までチャックを上方に移動した場合、ウェハ支持体がチ
ャックの切り取り部内にあるため、ウェハ支持体はチャ
ックの邪魔にならない。チャックをウェハと接触するよ
うに置いた後、次の工程540においてチャックをウェ
ハに固定する。静電チャックの場合、チャックを充電す
ることによって、ウェハにチャックを固定し得る。ある
いは、ウェハにチャックを固定することは、真空チャッ
ク内を真空にすることによって実行し得る。ウェハにチ
ャックを固定する他の方法を実行しても本発明の範囲か
ら逸脱しない。
【0100】工程540においてチャックにウェハを固
定した後、本発明によるリソグラフィシステム内で、ウ
ェハに対するアライメントを維持しながら、チャックを
移動し得る。チャックがキネマティックマウント機能を
備えているため、露光ステージに対するウェハのアライ
メントは常に、リソグラフィシステム内で用いられるキ
ネマティックマウントの再現性内にある。通常、このよ
うなキネマティックマウントの再現性は約2ミクロン内
である。一方、ロボットおよびグリッパの再現性は通
常、数百ミクロンである。したがって、ロボットの移動
に続いて微調整アライメントを実行する従来の工程は、
ウェハをチャックにマウントしたままで移動することに
よって省き得る。微調整アライメントは依然必要であ
る。しかし、ロボットが移動した後に微調整アライメン
トを実行すると、微調整アライメントプロセスが容易に
なる。したがって、本発明によるリソグラフィシステム
は、図1に関して上述したように、システム内に複数の
チャックを用いることによって、高いレベルのスループ
ット(例えば、1時間あたり120個のウェハ)を達成
し得る。
【0101】本発明の一つの実施形態によれば、リソグ
ラフィのパターニングチャンバと、第1のゲートバルブ
によって上記リソグラフィのパターニングチャンバから
分離されたウェハ交換チャンバと、第2のゲートバルブ
によって上記ウェハ交換チャンバから分離された少なく
とも1つのアライメントロードロックとを含むリソグラ
フィシステムが提供される。上記アライメントロードロ
ックは、ポンプダウンの間にウェハの位置を合わせるア
ライメントステージを含む。本発明によるアライメント
ロードロックは、単方向または双方向であり得る。同様
に、本発明によるリソグラフィシステムは、1つまたは
複数のアライメントロードロックを含み得る。さらに本
発明として開示するのは、リソグラフィシステム内でウ
ェハをパターニングする方法である。上記方法は、アラ
イメントロードロック内の支持体上に上記ウェハを配置
する第1の工程を含み得る。次の工程において、上記ア
ライメントロードロック内で、かつ、上記支持体上に上
記ウェハを支持した状態で、チャックに対して相対的に
上記ウェハの位置を合わせる。別の工程において、上記
ウェハを上記チャックに固定する。さらに別の工程にお
いて、ポンプダウンを実行して、上記アライメントロー
ドロック内に真空を生成する。
【0102】
【発明の効果】本発明によれば、ロボットによって生じ
るアライメントの喪失を回避し、同時にシステムのスル
ープットを改善する、リソグラフィシステム内でウェハ
を処理するシステムおよび方法を提供することが出来
る。
【0103】本発明を真空内で動作するリソグラフィシ
ステムの観点から説明してきたが、非真空システムとし
て本発明を実施しても本発明の範囲から逸脱しない。こ
のようなシステムにおいて、アライメントロードロック
として上述してきたものは、ロードロックのポンプダウ
ンおよび換気特性の無いアライメントおよびチャッキン
グステーションであり得る。さらに、説明したポンプダ
ウンおよび換気工程の無い方法を本発明によって実行し
得る。
【0104】本発明の種々の実施形態を上述したが、こ
れらは例示として提示しているのであって、本発明を限
定しないことが理解されるべきである。当業者であれ
ば、上掲の特許請求の範囲に規定する本発明の意図およ
び範囲から逸脱せずに、本発明に形態および詳細におい
て種々の変更を行い得ることを理解する。したがって、
本発明の幅および範囲は、上述の例示の実施形態にいず
れによっても限定されるべきではなく、上掲の特許請求
の範囲およびその均等物によってのみ規定されるべきで
ある。
【図面の簡単な説明】
【図1】図1は、本発明によるリソグラフィシステムを
示す図である。
【図2A】図2Aは、本発明によるアライメントロード
ロック内の上部エレメントの分解図である。
【図2B】図2Bは、本発明によるアライメントロード
ロック内の下部エレメントの分解図である。
【図3A】図3Aは、本発明によるリソグラフィシステ
ム内のフロアにマウントされたモーションフィードスル
ー300を示す図である。
【図3B】図3Bは、本発明によるリソグラフィシステ
ム内の壁にマウントされたモーションフィードスルー3
50を示す図である。
【図4A】図4Aは、本発明による双方向ロードロック
(単数または複数)を用いるリソグラフィシステム内で
ウェハをパターニングする方法を示す図である。
【図4B】図4Bは、本発明による単方向ロードロック
(単数または複数)を用いるリソグラフィシステム内で
ウェハをパターニングする方法を示す図である。
【図5】図5は、本発明によるアライメントロードロッ
ク内のウェハの位置を合わせる方法を示す図である。
【符号の説明】
101 トラック 104、105 アライメントロードロック 106 ウェハ交換チャンバ 111 リソグラフィパターニングチャンバ
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/30 514D (72)発明者 スティーブン ロウクス アメリカ合衆国 ニューヨーク 10578, パーディス, エントランス ウェイ 58 (72)発明者 ジャスティン エル. クルーザー アメリカ合衆国 コネチカット 06611, トランブル, ブランディー レーン 7 Fターム(参考) 5F031 CA02 FA01 FA05 FA07 FA12 FA15 GA03 GA43 HA13 HA16 HA19 HA23 HA50 HA53 HA58 JA02 JA04 JA14 JA17 JA27 JA35 JA40 KA03 KA06 KA08 KA11 KA14 KA20 LA01 MA27 NA05 NA07 PA02 PA07 PA24 5F046 CD01 CD04 EA30 ED02 FA10 FC08

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 リソグラフィシステムであって、 リソグラフィのパターニングチャンバと、 該リソグラフィのパターニングチャンバに結合されたウ
    ェハ交換チャンバと、 第2のゲートバルブによって該ウェハ交換チャンバから
    分離された少なくとも1つのアライメントロードロック
    であって、該少なくとも1つのアライメントロードロッ
    クはウェハの位置を合わせるアライメントステージを含
    む少なくとも1つのアライメントロードロックとを含む
    リソグラフィシステム。
  2. 【請求項2】 前記ウェハ交換チャンバは、第1のゲー
    トバルブによって前記リソグラフィのパターニングチャ
    ンバから分離されている、請求項1に記載のリソグラフ
    ィシステム。
  3. 【請求項3】 前記アライメントステージは、ポンプダ
    ウンステージの間に前記ウェハの位置を合わせる、請求
    項1に記載のリソグラフィシステム。
  4. 【請求項4】 前記少なくとも1つのアライメントロー
    ドロックは、第3のゲートバルブによってトラックから
    分離された双方向アライメントロードロックである、請
    求項1に記載のリソグラフィシステム。
  5. 【請求項5】 前記少なくとも1つのアライメントロー
    ドロックは、第3のゲートバルブによってトラックから
    分離された単方向アライメントロードロックである、請
    求項1に記載のリソグラフィシステム。
  6. 【請求項6】 前記少なくとも1つのアライメントロー
    ドロックは、複数のアライメントロードロックを含む、
    請求項3に記載のリソグラフィシステム。
  7. 【請求項7】 前記少なくとも1つのアライメントロー
    ドロックは、第1の壁と、該第1の壁から直径方向の反
    対側にある第2の壁とを含み、該第1の壁は、柱が移動
    することを可能にし、かつ、回転シールの必要性をなく
    すモーションフィードスルーシールを含む開口部を有す
    る、請求項1に記載のリソグラフィシステム。
  8. 【請求項8】 前記第2の壁は、柱が移動することを可
    能にし、かつ、前記リソグラフィシステムの安定度を高
    めることを可能にする、モーションフィードスルーシー
    ルを含む開口部を有する、請求項7に記載のリソグラフ
    ィシステム。
  9. 【請求項9】 前記複数のアライメントロードロック
    は、単方向アライメントロードロックを含む、請求項6
    に記載のリソグラフィシステム。
  10. 【請求項10】 第3のゲートバルブによって前記ウェ
    ハ交換チャンバから分離されたロードロックを保持する
    予備チャックをさらに含む、請求項1に記載のリソグラ
    フィシステム。
  11. 【請求項11】 検査波長を有する光を発する照射源、
    および該検査波長に反応するカメラをさらに含む、請求
    項1に記載のリソグラフィシステム。
  12. 【請求項12】 前記少なくとも1つのアライメントロ
    ードロックは、前記検査波長を透過するロードロックの
    ルーフをさらに含む、請求項9に記載のリソグラフィシ
    ステム。
  13. 【請求項13】 前記少なくとも1つのアライメントロ
    ードロックは、該少なくとも1つのアライメントロード
    ロック内にウェハを保持するためのウェハ支持体をさら
    に含む、請求項1に記載のリソグラフィシステム。
  14. 【請求項14】 前記少なくとも1つのアライメントロ
    ードロックは、該少なくとも1つのアライメントロード
    ロックのフロアを通って延びた柱によって、該少なくと
    も1つのアライメントロードロック外に設けられたアラ
    イメントサブステージから分離されたアライメントステ
    ージをさらに含む、請求項1に記載のリソグラフィシス
    テム。
  15. 【請求項15】 前記アライメントステージは、チャッ
    クをキネマティックにマウントする複数のステージ係合
    機構を含む、請求項14に記載のリソグラフィシステ
    ム。
  16. 【請求項16】 前記ステージ係合機構はV型ブロック
    を含む、請求項15に記載のリソグラフィシステム。
  17. 【請求項17】 前記少なくとも1つのアライメントロ
    ードロックの前記フロアは、該少なくとも1つのアライ
    メントロードロック内への気体の流入を防止しつつ、前
    記柱が該フロアに対して相対的に移動することを可能に
    するモーションフィードスルーシールを含む、請求項1
    4に記載のリソグラフィシステム。
  18. 【請求項18】 前記モーションフィードスルーシール
    は、ベローズ、エラストマーシール、テフロン(R)シ
    ール、強磁性流体シールおよび磁気結合を含む群から選
    択されたエレメントを含む、請求項17に記載のリソグ
    ラフィシステム。
  19. 【請求項19】 少なくとも1つのチャックをさらに含
    む、請求項1に記載のリソグラフィシステム。
  20. 【請求項20】 前記少なくとも1つのチャックは静電
    チャックである、請求項19に記載のリソグラフィシス
    テム。
  21. 【請求項21】 前記少なくとも1つのチャックは真空
    チャックである、請求項19に記載のリソグラフィシス
    テム。
  22. 【請求項22】 前記少なくとも1つのチャックは複数
    のチャックを含む、請求項19に記載のリソグラフィシ
    ステム。
  23. 【請求項23】 前記少なくとも1つのチャックは、複
    数のウェハ支持体に接触することなく、該複数のウェハ
    支持体によって保持されたウェハと接触可能なように複
    数の切り取り部を含む、請求項19に記載のリソグラフ
    ィシステム。
  24. 【請求項24】 前記少なくとも1つのチャックは、下
    面上に該少なくとも1つのチャックをキネマティックに
    マウントするための複数のチャック係合機構を含む、請
    求項19に記載のリソグラフィシステム。
  25. 【請求項25】 前記チャック係合機構は半球である、
    請求項24に記載のリソグラフィシステム。
  26. 【請求項26】 前記リソグラフィのパターニングチャ
    ンバは、リソグラフィのパターニングの間、ウェハを有
    するチャックを保持する少なくとも1つの露光ステージ
    を含む、請求項1に記載のリソグラフィシステム。
  27. 【請求項27】 前記少なくとも1つの露光ステージは
    複数の露光ステージを含む、請求項26に記載のリソグ
    ラフィシステム。
  28. 【請求項28】 リソグラフィシステム内でウェハを処
    理する方法であって、 (a)アライメントロードロック内の支持体上に該ウェ
    ハを配置する工程と、 (b)該アライメントロードロック内で、該支持体上に
    該ウェハを支持した状態で、チャックに対して該ウェハ
    の位置を合わせる工程と、 (c)該ウェハを該チャックに固定する工程と、 (d)ポンプダウンを実行して、該アライメントロード
    ロック内に真空を生成する工程とを包含する、方法。
  29. 【請求項29】 前記工程(d)は、前記工程(b)お
    よび(c)のうちの少なくとも一方の工程と同時に実行
    される、請求項28に記載の方法。
  30. 【請求項30】 前記工程(d)は、前記工程(b)お
    よび(c)と同時に実行される、請求項28に記載の方
    法。
  31. 【請求項31】 (e)リソグラフィのパターニングチ
    ャンバに前記チャックおよびウェハを搬送する工程と、 (f)該ウェハ上にリソグラフィのパターニングを実行
    する工程と、 (g)該ウェハおよびチャックを前記アライメントロー
    ドロックに戻す工程と、 (h)該ウェハから該チャックを取り外す工程と、 (i)該アライメントロードロックを換気する工程とを
    さらに包含する、請求項29に記載の方法。
  32. 【請求項32】 前記工程(h)および(i)は同時に
    実行される、請求項31に記載の方法。
  33. 【請求項33】 アライメントロードロック内でウェハ
    の位置を合わせる方法であって、 (a)該アライメントロードロック内の支持体上に該ウ
    ェハを配置する工程と、 (b)該アライメントロードロック内の該支持体上の該
    ウェハの位置および方向を監視する工程と、 (c)チャックを移動して、該チャックに対して該ウェ
    ハの位置を合わせる工程と、 (d)該チャックを該ウェハと接触するように配置する
    工程と、 (e)該ウェハを該チャックに固定する工程と を包含する、方法。
  34. 【請求項34】 前記工程(b)は、前記アライメント
    ロードロック内の前記支持体上の前記ウェハの位置およ
    び方向を該アライメントロードロック外に設けられたカ
    メラによって監視する工程をさらに包含する、請求項3
    3に記載の方法。
  35. 【請求項35】 前記工程(d)は、前記チャックが前
    記ウェハを押し上げて該ウェハが前記支持体から離れる
    まで、該チャックを上方に移動する工程をさらに包含す
    る、請求項33に記載の方法。
  36. 【請求項36】 前記チャックは静電チャックであり、
    前記工程(e)は、該静電チャックを充電する工程をさ
    らに包含する、請求項33に記載の方法。
  37. 【請求項37】 リソグラフィシステムであって、 リソグラフィのパターニングチャンバと、 該リソグラフィのパターニングチャンバに隣接したウェ
    ハ交換チャンバと、 該ウェハ交換チャンバに隣接した少なくとも1つのアラ
    イメントチャッキングステーションと、 複数のチャックとを含み、 ウェハは、該複数のチャックのそれぞれに固定された状
    態で、該リソグラフィのパターニングチャンバに向かっ
    て移動され、かつ、該リソグラフィのパターニングチャ
    ンバから移動される、リソグラフィシステム。
JP2002304998A 2001-10-19 2002-10-18 リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法 Expired - Fee Related JP4493070B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/981,992 2001-10-19
US09/981,992 US6778258B2 (en) 2001-10-19 2001-10-19 Wafer handling system for use in lithography patterning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008311503A Division JP4827913B2 (ja) 2001-10-19 2008-12-05 リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム

Publications (3)

Publication Number Publication Date
JP2003188094A true JP2003188094A (ja) 2003-07-04
JP2003188094A5 JP2003188094A5 (ja) 2005-12-02
JP4493070B2 JP4493070B2 (ja) 2010-06-30

Family

ID=25528779

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002304998A Expired - Fee Related JP4493070B2 (ja) 2001-10-19 2002-10-18 リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
JP2008311503A Expired - Fee Related JP4827913B2 (ja) 2001-10-19 2008-12-05 リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008311503A Expired - Fee Related JP4827913B2 (ja) 2001-10-19 2008-12-05 リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム

Country Status (5)

Country Link
US (3) US6778258B2 (ja)
EP (1) EP1304727A3 (ja)
JP (2) JP4493070B2 (ja)
KR (1) KR100736300B1 (ja)
TW (1) TW561522B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007042929A (ja) * 2005-08-04 2007-02-15 Hitachi High-Tech Control Systems Corp ロードロック装置とその方法及び半導体製造装置
JP2008227505A (ja) * 2007-03-13 2008-09-25 Nikon Corp 露光装置及びデバイスの製造方法
KR100977582B1 (ko) 2008-03-12 2010-08-23 세메스 주식회사 반도체 제조 장비의 기판 정렬 과정에서 기판 이송 방법 및반도체 제조 장비
KR20100119762A (ko) * 2008-02-08 2010-11-10 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP2013038433A (ja) * 2007-01-17 2013-02-21 Lam Research Corporation 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
JP2018066894A (ja) * 2016-10-20 2018-04-26 マッパー・リソグラフィー・アイピー・ビー.ブイ. 基板支持ユニット上に基板を位置合わせする方法および装置
US10133186B2 (en) 2016-10-20 2018-11-20 Mapper Lithography Ip B.V. Method and apparatus for aligning substrates on a substrate support unit

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
JP4048412B2 (ja) * 2002-01-23 2008-02-20 東京エレクトロン株式会社 載置台の除電機構及び検査装置
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
US7430104B2 (en) * 2003-03-11 2008-09-30 Appiled Materials, Inc. Electrostatic chuck for wafer metrology and inspection equipment
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
EP1491967A1 (en) * 2003-06-27 2004-12-29 ASML Netherlands B.V. Method and apparatus for positioning a substrate on a substrate table
US7340087B2 (en) * 2003-07-14 2008-03-04 Rudolph Technologies, Inc. Edge inspection
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20080135788A1 (en) * 2003-11-10 2008-06-12 Fogel Paul E Wafer center finding with contact image sensors
US8634633B2 (en) 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US20070030467A1 (en) * 2004-02-19 2007-02-08 Nikon Corporation Exposure apparatus, exposure method, and device fabricating method
GB2414858A (en) * 2004-06-03 2005-12-07 Nanobeam Ltd A workpiece or specimen support assembly for a charged particle beam system
US7408615B2 (en) * 2004-06-21 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7041989B1 (en) * 2004-10-22 2006-05-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070258068A1 (en) * 2005-02-17 2007-11-08 Hiroto Horikawa Exposure Apparatus, Exposure Method, and Device Fabricating Method
US20060213537A1 (en) * 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
US20090021706A1 (en) * 2005-06-01 2009-01-22 Nikon Corporation Immersion fluid containment system and method for immersion lithogtraphy
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
JP4781049B2 (ja) * 2005-08-30 2011-09-28 キヤノン株式会社 露光装置およびデバイス製造方法
US20090108540A1 (en) * 2007-03-06 2009-04-30 Advanced Vacuum Company Inc. Seal cartridge for a vacuum pumps
US8236579B2 (en) * 2007-03-14 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for lithography alignment
US8705010B2 (en) 2007-07-13 2014-04-22 Mapper Lithography Ip B.V. Lithography system, method of clamping and wafer table
TWI514090B (zh) 2007-07-13 2015-12-21 Mapper Lithography Ip Bv 微影系統及用於支撐晶圓的晶圓台
US7952851B2 (en) * 2008-10-31 2011-05-31 Axcelis Technologies, Inc. Wafer grounding method for electrostatic clamps
US8902560B2 (en) * 2008-10-31 2014-12-02 Axcelis Technologies, Inc. Electrostatic chuck ground punch
CN104810232B (zh) 2009-05-20 2017-12-29 迈普尔平版印刷Ip有限公司 两次扫描
NL2005586A (en) * 2009-12-02 2011-06-06 Asml Netherlands Bv Lithographic apparatus and sealing device for a lithographic apparatus.
JP5316521B2 (ja) * 2010-03-31 2013-10-16 株式会社安川電機 基板搬送システム、基板処理システムおよび基板搬送ロボット
US9564320B2 (en) * 2010-06-18 2017-02-07 Soraa, Inc. Large area nitride crystal and method for making it
US9176397B2 (en) 2011-04-28 2015-11-03 Mapper Lithography Ip B.V. Apparatus for transferring a substrate in a lithography system
WO2013163791A1 (en) * 2012-05-02 2013-11-07 Acm Research (Shanghai) Inc. Apparatus and method for detecting position of wafer
JP6087573B2 (ja) * 2012-10-23 2017-03-01 キヤノン株式会社 処理装置、それを用いた物品の製造方法
US9293355B2 (en) 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
CN107706141A (zh) * 2017-09-19 2018-02-16 上海微松工业自动化有限公司 一种半导体前置模块晶圆的传输工艺
US11466384B2 (en) 2019-01-08 2022-10-11 Slt Technologies, Inc. Method of forming a high quality group-III metal nitride boule or wafer using a patterned substrate
WO2021108178A1 (en) * 2019-11-26 2021-06-03 Lam Research Corporation Carrier ring to pedestal kinematic mount for substrate processing tools
CN111261565B (zh) * 2020-01-21 2023-11-14 北京北方华创微电子装备有限公司 一种半导体设备及其晶圆传输腔室和晶圆传输方法
US11721549B2 (en) 2020-02-11 2023-08-08 Slt Technologies, Inc. Large area group III nitride crystals and substrates, methods of making, and methods of use
WO2021162727A1 (en) 2020-02-11 2021-08-19 SLT Technologies, Inc Improved group iii nitride substrate, method of making, and method of use
US11263755B2 (en) * 2020-07-17 2022-03-01 Nanya Technology Corporation Alert device and alert method thereof
US11508608B2 (en) * 2020-08-20 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum wafer chuck for manufacturing semiconductor devices
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
KR102305139B1 (ko) * 2021-02-24 2021-09-28 피에스케이 주식회사 로드락 챔버 및 기판 처리 장치
WO2023022742A1 (en) * 2021-08-14 2023-02-23 Lam Research Corporation Clockable substrate processing pedestal for use in semiconductor fabrication tools

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302746A (ja) * 1994-05-10 1995-11-14 Hitachi Ltd 静電吸着装置
JPH0888158A (ja) * 1994-09-16 1996-04-02 Toshiba Corp 電子ビーム描画装置
JPH10209010A (ja) * 1997-01-21 1998-08-07 Nikon Corp 荷電ビーム露光方法,荷電ビーム露光装置およびパレット
JP2001091681A (ja) * 1999-09-28 2001-04-06 Kyocera Corp Xyステージ機構及び露光装置
JP2001284234A (ja) * 2000-03-31 2001-10-12 Toshiba Corp 荷電粒子ビーム露光装置及び露光方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3005373B2 (ja) * 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
KR100294062B1 (ko) * 1992-10-27 2001-10-24 조셉 제이. 스위니 웨이퍼 처리 챔버에서의 돔형 페데스탈용 클램프 링
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
JP3734095B2 (ja) * 1994-09-12 2006-01-11 株式会社ニコン 基板処理装置
JPH1022226A (ja) * 1996-07-05 1998-01-23 Super Silicon Kenkyusho:Kk エピタキシャルウエハ製造方法及び装置
US5748827A (en) * 1996-10-23 1998-05-05 University Of Washington Two-stage kinematic mount
JPH10135301A (ja) * 1996-10-30 1998-05-22 Hitachi Techno Eng Co Ltd ウエハ処理装置
JP3661138B2 (ja) * 1998-04-04 2005-06-15 東京エレクトロン株式会社 アライメント高速処理機構
US20080209758A9 (en) * 2005-06-27 2008-09-04 Dominique Thifault Pocket ventilator
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
WO2000072375A1 (fr) * 1999-05-20 2000-11-30 Nikon Corporation Contenant pour appareil d'exposition de support, procede de fabrication de dispositif et appareil de fabrication de dispositif
JP4294172B2 (ja) * 1999-07-19 2009-07-08 シンフォニアテクノロジー株式会社 ロードロック装置およびウェハ搬送システム
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
AU3271801A (en) 1999-11-30 2001-06-12 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
US6791661B2 (en) * 1999-12-09 2004-09-14 Nikon Corporation Gas replacement method and apparatus, and exposure method and apparatus
JP4689064B2 (ja) * 2000-03-30 2011-05-25 キヤノン株式会社 露光装置およびデバイス製造方法
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07302746A (ja) * 1994-05-10 1995-11-14 Hitachi Ltd 静電吸着装置
JPH0888158A (ja) * 1994-09-16 1996-04-02 Toshiba Corp 電子ビーム描画装置
JPH10209010A (ja) * 1997-01-21 1998-08-07 Nikon Corp 荷電ビーム露光方法,荷電ビーム露光装置およびパレット
JP2001091681A (ja) * 1999-09-28 2001-04-06 Kyocera Corp Xyステージ機構及び露光装置
JP2001284234A (ja) * 2000-03-31 2001-10-12 Toshiba Corp 荷電粒子ビーム露光装置及び露光方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007042929A (ja) * 2005-08-04 2007-02-15 Hitachi High-Tech Control Systems Corp ロードロック装置とその方法及び半導体製造装置
JP2013038433A (ja) * 2007-01-17 2013-02-21 Lam Research Corporation 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
JP2008227505A (ja) * 2007-03-13 2008-09-25 Nikon Corp 露光装置及びデバイスの製造方法
KR20100119762A (ko) * 2008-02-08 2010-11-10 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP2011511474A (ja) * 2008-02-08 2011-04-07 ラム リサーチ コーポレーション 軸直角変位ベローズおよび非接触粒子シールを備えたギャップ調整可能な容量結合rfプラズマリアクタ
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
KR100977582B1 (ko) 2008-03-12 2010-08-23 세메스 주식회사 반도체 제조 장비의 기판 정렬 과정에서 기판 이송 방법 및반도체 제조 장비
JP2018066894A (ja) * 2016-10-20 2018-04-26 マッパー・リソグラフィー・アイピー・ビー.ブイ. 基板支持ユニット上に基板を位置合わせする方法および装置
US10133186B2 (en) 2016-10-20 2018-11-20 Mapper Lithography Ip B.V. Method and apparatus for aligning substrates on a substrate support unit

Also Published As

Publication number Publication date
JP4493070B2 (ja) 2010-06-30
JP2009088555A (ja) 2009-04-23
JP4827913B2 (ja) 2011-11-30
US20050264791A1 (en) 2005-12-01
EP1304727A3 (en) 2004-12-15
US20040257554A1 (en) 2004-12-23
KR100736300B1 (ko) 2007-07-06
TW561522B (en) 2003-11-11
US6778258B2 (en) 2004-08-17
KR20030032879A (ko) 2003-04-26
US7298459B2 (en) 2007-11-20
EP1304727A2 (en) 2003-04-23
US20030082466A1 (en) 2003-05-01
US6927842B2 (en) 2005-08-09

Similar Documents

Publication Publication Date Title
JP4493070B2 (ja) リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
US7726891B2 (en) Substrate processing apparatus and substrate processing method
JP6325518B2 (ja) Euvレチクル検査ツールにおけるレチクルの取り扱い装置及び方法
KR100562803B1 (ko) 레티클 이송 시스템 및 그 방법과 기판 이송 시스템 및 그방법
US7658560B2 (en) Substrate processing apparatus
JP5008268B2 (ja) 基板処理装置および基板処理方法
US6900878B2 (en) Reticle-holding pods and methods for holding thin, circular reticles, and reticle-handling systems utilizing same
JP2919925B2 (ja) 処理装置
TWI486723B (zh) 在微影系統中處理基板的方法
US20080212049A1 (en) Substrate processing apparatus with high throughput development units
JP2006310722A (ja) 基板処理装置
US11823914B2 (en) Apparatus for treating substrate
JP2000068351A (ja) 基板処理装置
JP2005175413A (ja) 搬送システム及び搬送方法
JPH0582625A (ja) ウエハ搬送装置
JP2000138203A (ja) X線マスク用カセット、x線マスク製造装置及び製造方法
JP2005197207A (ja) 有機エレクトロルミネセント素子の薄膜を形成するための方法及び装置
TWI457722B (zh) 微影設備配置及提升半導體工件製程生產率的方法
KR20070080525A (ko) 기판 이송장치
KR20050035714A (ko) 웨이퍼 핸들링 시스템
JPH04107947A (ja) ウエハ搬送装置
KR20040052415A (ko) 반도체 소자 제조를 위한 포토 리소그래피 시스템

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051017

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051017

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061124

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20061124

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070803

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090422

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091019

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20091102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100331

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100405

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130416

Year of fee payment: 3

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130416

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130416

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140416

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees