JP4827913B2 - リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム - Google Patents

リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム Download PDF

Info

Publication number
JP4827913B2
JP4827913B2 JP2008311503A JP2008311503A JP4827913B2 JP 4827913 B2 JP4827913 B2 JP 4827913B2 JP 2008311503 A JP2008311503 A JP 2008311503A JP 2008311503 A JP2008311503 A JP 2008311503A JP 4827913 B2 JP4827913 B2 JP 4827913B2
Authority
JP
Japan
Prior art keywords
alignment
wafer
substrate
stage
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008311503A
Other languages
English (en)
Other versions
JP2009088555A5 (ja
JP2009088555A (ja
Inventor
イー. デル プエルト サンティアゴ
ロウクス スティーブン
エル. クルーザー ジャスティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of JP2009088555A publication Critical patent/JP2009088555A/ja
Publication of JP2009088555A5 publication Critical patent/JP2009088555A5/ja
Application granted granted Critical
Publication of JP4827913B2 publication Critical patent/JP4827913B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7096Arrangement, mounting, housing, environment, cleaning or maintenance of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Description

本発明は、リソグラフィシステム内で用いる、ウェハを処理するシステムおよび方法に関する。具体的には、本発明は、ウェハをチャックに固定および位置合わせしている状態で、リソグラフィシステム内で搬送し、これにより、製造スループットを最大にする、ウェハを処理するシステムおよび方法に関する。
リソグラフィは、基板表面上にフィーチャ(特徴的な形状)を作製するために用いられるプロセスである。このような基板は、フラットパネルディスプレイ、回路板、および種々の集積回路などを製造する際に用いられる基板を含み得る。このような用途に頻繁に用いられる基板は半導体ウェハである。例示を目的として半導体ウェハの観点からこの説明を記載するが、当業者であれば、この説明が当業者に公知の他の種類の基板にも適用することを理解する。リソグラフィの間、ウェハステージ上に配置されたウェハは、リソグラフィ装置内に設けられた露光系によってウェハ表面上に投影された像に露光される。露光系はフォトリソグラフィの場合に用いられるが、異なる種類の露光装置が特定の用途に応じて用いられ得る。当業者に公知であるように、例えば、x線、イオン、電子または光子のリソグラフィはそれぞれ、異なる露光装置を必要とし得る。フォトリソグラフィの特定の例を例示を目的としてのみ本明細書において記載する。
投影像により、層(例えば、ウェハ表面上に堆積したフォトレジスト)の特性に変化が生じる。これらの変化は、露光の間にウェハ上に投影されたフィーチャに対応する。露光に続いて、層をエッチングして、パターニングされた層を生成し得る。パターンは、露光の間にウェハ上に投影されたフィーチャに対応する。次いで、このパターニングされた層を用いて、導電層、半導体層または絶縁層などの、ウェハ内の基底構造層の露光された部分を除去する。次いで、所望のフィーチャがウェハの表面上に形成されるまで、他の工程と共にこのプロセスを繰返す。
ステップアンドスキャン技術は、狭い結像スロットを有する投影光学系システムと協働する。一度にウェハ全体を露光するのではなく、一度に1つ、ウェハ上に個々のフィールドを走査する。結像スロットが走査の間フィールドを横切って移動するように、ウェハおよびレチクルを同時に移動させることによってこれを行う。次いで、フィールド露光ステップ間にウェハステージをずらして、レチクルパターンの複数のコピーをウェハ表面上に露光することを可能にする必要がある。このように、ウェハ上に投影された像の形状は最大化される。今日のリソグラフィツールは、アライメントの精度および投影の精度が共に上がっており、最小のフィーチャサイズはますます減少していくデバイスを製造することが可能である。しかし、最小のフィーチャサイズは、リソグラフィツールのユーティリティの1つの尺度にすぎない。別の重要な尺度はスループットである。
スループットは、リソグラフィシステムによってパターニングされ得る一時間あたりのウェハ数を示す。リソグラフィシステム内でウェハ上に実行する必要があるあらゆるタスクは、ウェハをパターニングするために必要な総時間に寄与し、これに関連してスループットが減少する。リソグラフィシステム内で繰返し実行する必要がある1つの重要なタスクはウェハのアライメントである。高いレベルのオーバーレイの精度を達成するには、リソグラフィシステム内でウェハを正確に位置合わせする必要がある。残念ながら、アライメントの精度は通常、ロボットを備えた従来のリソグラフィシステム内でウェハを移動する場合は常に喪失する。
必要であるのは、従来のロボットによって生じるアライメントの喪失を回避し、同時に、システムのスループットを改善する、リソグラフィシステム内でウェハを処理するシステムおよび方法である。
本発明のリソグラフィシステムは、リソグラフィシステムであって、リソグラフィのパターニングチャンバと、上記リソグラフィのパターニングチャンバに結合されたウェハ交換チャンバと、第2のゲートバルブによって上記ウェハ交換チャンバから分離された少なくとも1つのアライメントロードロックであって上記少なくとも1つのアライメントロードロックはウェハの位置を合わせるアライメントステージを含む少なくとも1つのアライメントロードロックとを含む。
上記ウェハ交換チャンバは、第1のゲートバルブによって上記リソグラフィのパターニングチャンバから分離されていてもよい。
上記アライメントステージは、ポンプダウンステージの間に上記ウェハの位置を合わせてもよい。
上記少なくとも1つのアライメントロードロックは、第3のゲートバルブによってトラックから分離された双方向アライメントロードロックであってもよい。
上記少なくとも1つのアライメントロードロックは、第3のゲートバルブによってトラックから分離された単方向アライメントロードロックであってもよい。
上記少なくとも1つのアライメントロードロックは、複数のアライメントロードロックを含んでもよい。
上記少なくとも1つのアライメントロードロックは、第1の壁と、上記第1の壁から直径方向の反対側にある第2の壁とを含み、上記第1の壁は、柱が移動することを可能にし、かつ、回転シールの必要性をなくすモーションフィードスルーシールを含む開口部を有してもよい。
上記第2の壁は、柱が移動することを可能にし、かつ、上記リソグラフィシステムの安定度を高めることを可能にする、モーションフィードスルーシールを含む開口部を有してもよい。
上記複数のアライメントロードロックは、単方向アライメントロードロックを含んでもよい。
第3のゲートバルブによって上記ウェハ交換チャンバから分離されたロードロックを保持する予備チャックをさらに含んでもよい。
検査波長を有する光を発する照射源、および上記検査波長に反応するカメラをさらに含んでもよい。
上記少なくとも1つのアライメントロードロックは、上記検査波長を透過するロードロックのルーフをさらに含んでもよい。
上記少なくとも1つのアライメントロードロックは、上記少なくとも1つのアライメントロードロック内にウェハを保持するためのウェハ支持体をさらに含んでもよい。
上記少なくとも1つのアライメントロードロックは、上記少なくとも1つのアライメントロードロックのフロアを通って延びた柱によって、上記少なくとも1つのアライメントロードロック外に設けられたアライメントサブステージから分離されたアライメントステージをさらに含んでもよい。
上記アライメントステージは、チャックをキネマティックにマウントする複数のステージ係合機構を含んでもよい。
上記ステージ係合機構はV型ブロックを含んでもよい。
上記少なくとも1つのアライメントロードロックの上記フロアは、上記少なくとも1つのアライメントロードロック内への気体の流入を防止しつつ、上記柱が上記フロアに対して相対的に移動することを可能にするモーションフィードスルーシールを含んでもよい。
上記モーションフィードスルーシールは、ベローズ、エラストマーシール、テフロン(R)シール、強磁性流体シールおよび磁気結合を含む群から選択されたエレメントを含んでもよい。
少なくとも1つのチャックをさらに含んでもよい。
上記少なくとも1つのチャックは静電チャックであってもよい。
上記少なくとも1つのチャックは真空チャックであってもよい。
上記少なくとも1つのチャックは複数のチャックを含んでもよい。
上記少なくとも1つのチャックは、複数のウェハ支持体に接触することなく、上記複数のウェハ支持体によって保持されたウェハと接触可能なように複数の切り取り部を含んでもよい。
上記少なくとも1つのチャックは、下面上に上記少なくとも1つのチャックをキネマティックにマウントするための複数のチャック係合機構を含んでもよい。
上記チャック係合機構は半球であってもよい。
上記リソグラフィのパターニングチャンバは、リソグラフィのパターニングの間、ウェハを有するチャックを保持する少なくとも1つの露光ステージを含んでもよい。
上記少なくとも1つの露光ステージは複数の露光ステージを含んでもよい。
本発明の方法は、リソグラフィシステム内でウェハを処理する方法であって、(a)アライメントロードロック内の支持体上に上記ウェハを配置する工程と、(b)上記アライメントロードロック内で、上記支持体上に上記ウェハを支持した状態で、チャックに対して上記ウェハの位置を合わせる工程と、(c)上記ウェハを上記チャックに固定する工程と、(d)ポンプダウンを実行して、上記アライメントロードロック内に真空を生成する工程とを包含する。
上記工程(d)は、上記工程(b)および(c)のうちの少なくとも一方の工程と同時に実行されてもよい。
上記工程(d)は、上記工程(b)および(c)と同時に実行されてもよい。
(e)リソグラフィのパターニングチャンバに上記チャックおよびウェハを搬送する工程と、(f)上記ウェハ上にリソグラフィのパターニングを実行する工程と、(g)上記ウェハおよびチャックを上記アライメントロードロックに戻す工程と、(h)上記ウェハから上記チャックを取り外す工程と、(i)上記アライメントロードロックを換気する工程とをさらに包含してもよい。
上記工程(h)および(i)は同時に実行されてもよい。
本発明の方法は、アライメントロードロック内でウェハの位置を合わせる方法であって、(a)上記アライメントロードロック内の支持体上に上記ウェハを配置する工程と、(b)上記アライメントロードロック内の上記支持体上の上記ウェハの位置および方向を監視する工程と、(c)チャックを移動して、上記チャックに対して上記ウェハの位置を合わせる工程と、(d)上記チャックを上記ウェハと接触するように配置する工程と、(e)上記ウェハを上記チャックに固定する工程とを包含する。
上記工程(b)は、上記アライメントロードロック内の上記支持体上の上記ウェハの位置および方向を上記アライメントロードロック外に設けられたカメラによって監視する工程をさらに包含してもよい。
上記工程(d)は、上記チャックが上記ウェハを押し上げて上記ウェハが上記支持体から離れるまで、上記チャックを上方に移動する工程をさらに包含してもよい。
上記チャックは静電チャックであり、上記工程(e)は、上記静電チャックを充電する工程をさらに包含してもよい。
本発明のリソグラフィシステムは、リソグラフィのパターニングチャンバと、上記リソグラフィのパターニングチャンバに隣接したウェハ交換チャンバと、上記ウェハ交換チャンバに隣接した少なくとも1つのアライメントチャッキングステーションと、複数のチャックとを含み、ウェハは、上記複数のチャックのそれぞれに固定された状態で、上記リソグラフィのパターニングチャンバに向かって移動され、かつ、上記リソグラフィのパターニングチャンバから移動される。
一実施形態において、本発明は、リソグラフィのパターニングチャンバと、第1のゲートバルブによって上記リソグラフィのパターニングチャンバから分離されたウェハ交換チャンバと、第2のゲートバルブによって上記ウェハ交換チャンバから分離された少なくとも1つのアライメントロードロックとを有するリソグラフィシステムを含む。上記アライメントロードロックは、ポンプダウンの間にウェハの位置を合わせるアライメントステージを含む。本発明によるアライメントロードロックは、単方向または双方向であり得る。同様に、本発明によるリソグラフィシステムは、1つまたは複数のアライメントロードロックを含み得る。
本発明によるリソグラフィシステムはさらに、上記ウェハ交換チャンバから分離された保持ロードロックを含み得る。
本発明によるリソグラフィシステムはさらに、検査波長を有する光を発する照射源、および上記検査波長に反応するカメラをさらに含み得る。上記アライメントロードロックのルーフは上記検査波長を透過するため、上記アライメントロードロック内に含まれた上記ウェハの監視が可能になる。
本発明の一実施形態による上記アライメントロードロック内にさらに含まれるのは、ウェハを保持するための支持体である。これらの支持体は、フックおよびピンなどであり得る。アライメントステージはさらに、アライメントロードロック内に設けられる。上記アライメントステージは、上記アライメントロードロックのフロアを通って延びた柱によって、上記アライメントロードロック外に設けられたアライメントサブステージから分離されている。さらに、上記アライメントロードロックの上記フロアは、上記アライメントロードロック内への気体の流入を防止しつつ、上記柱が上記フロアに対して相対的に移動することを可能にするモーションフィードスルーシールを含み得る。このようなモーションフィードスルーシールは、ベローズおよび回転シール(例えば、強磁性流体シール)を含み得る。
本発明の一実施形態にさらに含まれるのは、複数のチャックである。上記チャックは、静電チャックまたは真空チャックであり得る。上記チャックは、上記アライメントロードロック内において上記ウェハ支持体を収めるための切り取り部を含み得る。上記チャックは、上記アライメントステージまたは上記リソグラフィのパターニングチャンバ内に設けられたステージに上記チャックをキネマティックにマウントするためのチャック係合機構をさらに含み得る。クリティカルエリアにおいて、上記チャック係合機構は、応力およびひずみを回避するキネマティック半球(例えば、上記リソグラフィシステム内の上記種々のステージ上に設けられたV型ブロックと係合する半球を含む)であり得る。
本発明の一実施形態において、上記リソグラフィのパターニングチャンバは、複数の露光ステージを含み得る。
さらに開示するのは、リソグラフィシステム内でウェハをパターニングする方法である。一実施形態において、上記方法は、アライメントロードロック内の支持体上に上記ウェハを配置する第1の工程を含む。次の工程において、上記アライメントロードロック内で、かつ、上記支持体上に上記ウェハを支持した状態で、チャックに対して相対的に上記ウェハの位置を合わせる。別の工程において、上記ウェハを上記チャックに固定する。さらに別の工程において、ポンプダウンを実行して、上記アライメントロードロック内に真空を生成する。
本発明による1方法において、上記チャックに対して相対的に上記ウェハの位置を合わせると同時に、ポンプダウンを実行し得る。同様に、上記アライメント工程の後に上記チャックに上記ウェハを固定すると同時に、ポンプダウンを実行し得る。
本発明の一実施形態による方法はさらに、上記チャックおよびウェハを上記リソグラフィのパターニングチャンバに搬送する工程を含み得る。さらに、上記リソグラフィのパターニングチャンバにおいて微調整アライメントが必要であり得る。次に、上記ウェハ上にリソグラフィのパターニングを実行する工程を行う。上記リソグラフィのパターニングが完了した後、上記ウェハおよびチャックは、上記アライメントロードロックエリアに戻される。上記アライメントロードロックに戻された後、上記ウェハから上記チャックを取り外し得、そして換気を実行し得る。上記換気は、上記チャックから上記ウェハを取り外しながら行い得る。
本明細書にさらに開示するのは、アライメントロードロック内のウェハの位置を合わせる方法である。一実施形態において、この方法は、上記アライメントロードロック内の支持体上に上記ウェハを配置する第1の工程を含む。次に、上記アライメントロードロック内の上記支持体上の上記ウェハの位置および方向を監視する工程を実行する。さらに実行されるのは、チャックを移動して、上記チャックに対して相対的に上記ウェハの位置を合わせる工程である。上記チャックは、位置を合わされた後、上記チャックを上記ウェハと接触するように置いて、上記ウェハに固定する。上記ウェハの位置および方向を監視する工程を、上記アライメントロードロック外に設けられたカメラによって実行し得る。
本発明によれば、ロボットによって生じるアライメントの喪失を回避し、同時にシステムのスループットを改善する、リソグラフィシステム内でウェハを処理するシステムおよび方法を提供することが出来る。
本明細書に組み込まれ、かつ、本明細書の一部を形成する添付の図面は、説明と共に本発明を例示し、本発明の原理を説明して、当業者が本発明を製造および用いることを可能にすることにさらに役立つ。異なる図面内で同様の参照符号は同様のエレメントを示す。
本明細書において用いる場合、用語「ウェハ」は、半導体ウェハ、またはリソグラフィックパターニングに適した任意の他の基板を意味する。
リソグラフィのパターニングプロセス内で貴重な時間を取る手順は、アライメント、ポンプダウンおよび静電チャックの充電がすべてである。本発明者らは、これら機能3つすべてを組み合わせて1つのアライメントロードロックステーションにし得る点を発見した。このような1つのアライメントロードロックステーションは、チャックに対して相対的にウェハの位置を合わし、次いで、チャックに対してウェハを固定し、この間常に、ロードロックをポンプダウンし得る。このようなリソグラフィシステム内に1つより多いチャックを含むことによって、リソグラフィのパターニングの間に各チャックにウェハを固定し、これによりスループットを最大にし得る。
図1は、本発明によるリソグラフィシステム100を示す図である。リソグラフィシステム100は、トラック101から得られた、図において点線の円によって示すウェハをパターニングする。トラック101から得られたウェハは、リソグラフィのパターニングの前に必要に応じて、種々のプロセスを受けている。例えば、レジスト塗布、プレベーク(pre−bake)、および当業者に公知の他のプロセスを、リソグラフィのパターニングの前にウェハ上に施す。リソグラフィのパターニングの後、成長およびポストベークなどのさらなる処理工程を行うためにウェハをトラックに戻す。2つのゲートバルブ102、103を介して、トラック101をリソグラフィシステム100に接続する。ゲートバルブ102、103は、ゲートバルブの双方の側で異なる大気圧を維持することが可能な当業者に公知のタイプである。ゲートバルブ102、103は、2つのアライメントロードロック104、105からトラック101を分離する。
アライメントロードロック104、105は、ゲートバルブ107、108によって、ウェハ交換チャンバ106から分離される。ゲートバルブ107、108は、アライメントロードロック104、105をトラック101に接続するゲートバルブ102、103に類似する。したがって、各アライメントロードロック104、105は、各ゲートバルブによって、トラック101およびウェハ交換チャンバ106から分離されたチャンバである。アライメントロードロック104、105は、真空および換気エレメント(図示せず)にさらに接続される。真空および換気エレメントにより、アライメントロードロックを大気圧から真空に移行すること(ポンプダウン)、そして再度大気圧に戻すこと(換気)が可能になる。このように、ウェハ交換チャンバ106を高真空で保持し、同時にトラック101を大気圧で保持することが可能である。したがって、アライメントロードロック104、105は、ウェハ交換チャンバの中にウェハを移動し、そしてウェハ交換チャンバからウェハを移動する場合に、大気圧から高真空に移行するように機能する。本発明者らは、アライメントロードロック104、105内にアライメントおよびチャッキング機能を含むことによって、システム全体のスループットを非常に高め得ることを発見した。図2aおよび図2bに関して、アライメントロードロック104、105を以下により詳細に説明する。
ウェハ交換チャンバ106は、二重エンドエフェクターを有するロボット109を含む。ロボット109は、真空に適合し、そしてその二重エンドエフェクターによって、2つのチャックを同時に処理することが可能である。あるいは、本開示が与えられた当業者に明らかであるように、他の構造を用いて、アライメントロードロックからリソグラフィのパターニングチャンバまで、位置が合わされたウェハを有するチャックを搬送し得る。例えば、単一エンドエフェクターを有するロボット、または二重のロボットではない搬送機構も、本発明の範囲から逸脱せずに用い得る。
ウェハ交換チャンバ106は、ゲートバルブ110によってリソグラフィのパターニングチャンバ111に接続される。ゲートバルブ110は、本明細書に説明する他のゲートバルブに類似する。リソグラフィパターニングチャンバ111はウェハステージ112、113を含む。ウェハステージ112、113は、微調整アライメントおよび露光プロセスにおいて、図示する方向で移動することが可能である。したがって、リソグラフィのパターニングチャンバ111は、投影光学系またはリソグラフィのパターニングを実行するために必要な他のエレメントをさらに含む。リソグラフィのパターニングチャンバ111は、2つのウェハステージ112、113を含むが、リソグラフィのパターニングチャンバはウェハステージを1つ含んでもよい。図示するような二重ウェハステージ構造は、1999年11月30日に出願された「Dual−Stage Lithography Apparatus and Method」という名称の同時係属中の共有に係る米国特許出願第09/449,630号により詳細に記載されている。同文献全体を参考として本明細書において援用する。
リソグラフィシステム100は、保持ロードロック114をさらに含む。保持ロードロック114を用いて、予備チャックを保持したり、またはリソグラフィのパターニングを維持しながらリソグラフィシステム内でチャックを交換する。これにより、例えば、保持ロードロック内で保持されているチャックにアクセスして洗浄することが可能になる。保持ロードロック114は、ゲートバルブ1115および116も含む。保持ロードロックは、リソグラフィのパターニングを止めずにチャック交換を可能にするため、あることが好適であるが省いても本発明の範囲から逸脱しない。
アライメントロードロック104、105および保持ロードロック114はすべて好適には双方向ロードロックであるが、単方向ロードロックを用いても本発明の範囲から逸脱しない。単方向ロードロックは、ウェハ挿入またはウェハ取出しのいずれかのみが可能である。しかし、双方向ロードロックは、ウェハ挿入およびウェハ取出しの両方が可能である。
例えば、トラック101から単方向アライメントロードロックまで、そして次いで、パターニングチャンバ111までウェハを移動すると、ウェハをパターニングした後に、同じ単方向アライメントロードロックにウェハを移動することはできない。逆に、リソグラフィのパターニングチャンバ内でパターニングプロセスを完了した後、別のアライメントロードロックに、そして次いでトラック101にウェハを戻す必要がある。
対照的に、トラック101から双方向アライメントロードロックまで、そして次いで、リソグラフィのパターニングチャンバ111までウェハを移動すると、パターニングの後に、同じ双方向アライメントロードロックを介してトラック101にウェハを移動し得る。
2つの双方向アライメントロードロックを用いることは、システムのスループットを上げることを可能にするため有利であるが、単方向ロードロックを2つ用いてもよい。同様に、双方向アライメントロードロックを1つ用いても本発明の範囲から逸脱しない。アライメントロードロック104、105それぞれの詳細な構造および機能をここで図2Aおよび図2Bを参照して説明する。
図2Aおよび図2Bは共に、本発明によるアライメントロードロック内のエレメントの分解図を構成する。図2Aは本発明によるアライメントロードロックの上部分に対応し、図2Bは本発明によるアライメントロードロックの下部分に対応する。アライメントロードロックの壁は、図2Aにも図2Bにも図示しない。
アライメントロードロックの屋根201は、気密性の透明または半透明の窓である。カメラ202および照射源203をアライメントロードロックの屋根201の上に配置する。「半透明」が意味することは、アライメントロードロックの屋根201が、照射源203から発せられる光の検査波長(これに対して、カメラ202は敏感である)に対して少なくとも透明であることである。アライメントロードロック内にはウェハ支持体204、205、206がある。これらのウェハ支持体204〜206を用いてウェハ207を保持する。ウェハ支持体204〜206を図面においてフックとして示すが、当業者に明らかであるように、ウェハ支持体204〜206はピンまたは他の支持機構も含み得る。さらなるロボットを用いて、トラック101からウェハ支持体204〜206上にウェハ207を配置する。さらなるロボットは、トラックシステムの通常の部分である(図示せず)。さらに、ノッチ208または他の所望のフィーチャをカメラ202のビューフィールド209内に位置付けるように、ウェハ207は前荒調整アライメントを受け得る。カメラ202のビューフィールド209は、照射源203の照射フィールド内210にある。当業者に公知の様態でこのような前荒調整アライメントを達成し得る。例えば、光電センサを用いて、ウェハを回転させ、そしてノッチを位置付けるトラック内のモジュールによってこのような前荒調整アライメントを実行し得る。図示するアライメントロードロック内にさらに含まれるのは、チャックの切り取り部212、213および214を有するチャック211である。ウェハ支持体204〜206がこれらのチャックの切り取り部212〜214内に納まり得るように、チャックの切り取り部212〜214はチャックの移動範囲に合うように十分に大きい。したがって、チャックの切り取り部212〜214がウェハ支持体204〜206とほぼ一列になる。
図2Bは、アライメントロードロックの底部分に対応する。具体的には、モーションフィードスルーシール217を有するアライメントロードロックのフロア216は、アライメントロードロックの底部分に設けられる。モーションフィードスルーシール217により、柱230(この上にアライメントステージ218が配置される)が、ロードロックのフロアに対して相対的に移動し、同時に気体がロードロック内に流れることを防ぐことが可能になる。図示する特定の実施形態において、モーションフィードスルーシール217は、図3を参照して以下により詳細に説明するベローズを含む。あるいは、移動可能なシールまたは強磁性流体シールなどの他の種類のモーションフィードスルーシールを用いても本発明の範囲から逸脱しない。
アライメントステージ218は、ステージ係合機構219、220および221を含む。ステージ係合機構を用いて、チャック係合機構222〜224がその下表面に設けられたチャック211にキネマティックに(kinematically)マウントする。クリティカルエリアにおいて、チャック係合機構は、応力およびひずみを回避するキネマティック半球(例えば、リソグラフィシステム内の種々のステージ上に設けられたV型ブロック219〜221と係合する半球を含む)であり得る。図示する実施形態において、ステージ係合機構219〜221は、キネマティックマウントの底半分を構成するV型ブロック219〜221を含む。同様に、図示する実施形態において、チャック係合機構222〜224は、キネマティックマウントの上半分を構成する半球を含む。この説明を与えられた当業者に明らかであるように、他の種類のキネマティックマウントを用いても本発明の範囲から逸脱しない。
図示する実施形態において、チャック211は、延長した時間期間の間、ウェハを保持するに十分な電荷を維持することが可能な静電チャックである。しかし、一実施形態において、チャック211は真空チャックである。アライメントステージ218は、ポゴコンタクト226および227を有するコンタクトブロック225をさらに含む。ポゴコンタクト226、227を用いて、チャック211の底部に配置されたコンタクトパッド228および229と電気接触させる。一実施形態において、ポゴコンタクト226および227は、金属チューブからできたばね荷重コンタクトである。金属チューブは金属バーを備えたばねを含む。金属バーはコンタクトパッド228および229と接触する。チャック211は、ポゴコンタクト226および227に接続されると、コンタクトパッド228および229を介して充電および放電される。本発明を静電チャックの観点から説明するが、他のチャックを用いても本発明の範囲から逸脱しない。例えば、当業者に明らかであるように、真空チャック、機械クランピング、およびウェハをチャックに固定する他の手段を用いてもよい。高真空環境においては超紫外線処理が起こるため、静電チャックが好適である。
柱230の上にアライメントステージ218を配置する。アライメントサブステージ231の上に柱230を配置する。アライメントサブステージ231は、アライメントサブステージマウント232によって保持される。この説明を与えられた当業者に明らかであるように、さらなるモーターおよび制御エレメント(図示せず)を用いて、4つの自由度(回転、2つの水平並進、および垂直並進)で、および図の矢印によって示すようにアライメントステージを移動する。モーションフィードスルーシール217は、アライメントサブステージ231、アライメントサブステージマウント232、およびリソグラフィシステムの残りの部分から、アライメントロードロック内の高真空環境を分離するように機能する。
アライメントロードロック内のエレメントの動作をここで説明する。チャック211およびウェハ207はアライメントロードロックの一体部分ではないことに留意されたい。むしろ、チャック211は、リソグラフィシステム100内で用いられる複数の同様のチャックのうちの1つである。同様に、ウェハ207は、図1に示すシステムのリソグラフィのパターニングチャンバ111内でリソグラフィのパターニングを施すために、トラック101から得られる。上述したように、ウェハ207は、ウェハ支持体204〜206上に配置する前に、荒調整アライメントを受けている可能性がある。カメラ202のビューフィールド209内にノッチ208を位置付けるために、この荒調整アライメントを実行し得る。カメラ202がノッチ208を捉え得るため、カメラ202は、ビューフィールド209内で見える曲率半径からウェハの中心まで、およびノッチ208の位置からウェハの方向の両方を決定し得る。この点に関して、図2Aにはカメラ202を1つ示すが、このようなカメラおよび光源203を複数用いても本発明の範囲から逸脱しないことに留意されたい。ノッチの位置208およびウェハ206の曲率半径を決定する際にカメラ202を用いるため、本開示を与えられた当業者に明らかであるように、1つより多いカメラを用いると監視の精度が上がり得る。最良の結果は、直径の対向線上に配置した2つのカメラ(すなわち、ウェハに対して等しく間隔を取ったカメラ)を用いることによって得られる。
カメラ202は、ビューフィールド209を捉えてウェハ位置207を決定する。次いで、このウェハ位置は、カメラ202によってパターン識別装置233(図示せず)に出力される。パターン識別装置は、位置情報をアライメントサブステージ232に送信し得る。パターン識別装置は、ウェハ207の正確な方向および位置を知っているため、アライメントサブステージ231およびアライメントサブステージマウント232を介して、アライメントステージ218の位置を制御し得る。チャック211がウェハ207と位置が合わされた後、チャック211は上方に移動されて、ウェハ207と接触する。チャック211は、ウェハ207と接触した後、アライメントステージ218のコンタクトブロック225におけるポゴコンタクト226および227と接触したコンタクトパッド228および229を介して充電される。チャック211は充電される前にウェハ207と位置が合わされているため、ウェハ207は、電荷によってチャック211と接触した状態がしっかりと保持される。リソグラフィのパターニングチャンバ111内の各ウェハステージ112、113はキネマティックマウントを含むため、リソグラフィのパターニングチャンバ内のウェハステージ112、113上にチャックを配置する再現性は、キネマティックマウントの精度に制限される。V型ブロックおよび半球を用いる図示するキネマティックマウントは約2ミクロンの再現性を有する。チャック211がリソグラフィシステム100内で静電荷を維持し得るため、ウェハ(例えば、ウェハ207)のアライメントは常に、用いられるキネマティックマウントの再現性内である。
図1に戻って、ウェハがアライメントロードロック104または105のいずれかの中にある場合、アライメントロードロックがポンプダウンを受けている間に、アライメント動作およびチャッキング動作を実行し得ることは、図2Aおよび図2Bに関して上述した説明から明らかである。アライメントロードロック104またはアライメントロードロック105内でウェハがチャックに対して位置が合わせられ、このチャックにマウントされ、そしてポンプダウンが完了した後、ゲートバルブ107または108が開き得る。この時点で、ロボット109は、いずれかのアライメントロードロック内からチャックおよびウェハを共に上げて、これをリソグラフィのパターニングチャンバ111に移動させ得る。ロボット109はグリッパーを含むため、同時に2つのチャックを保持し得る。したがって、ロボット109は、いずれかのアライメントロードロックステーションといずれかのウェハステージと間で迅速にチャックを交換し得る。
図3Aは、本発明のリソグラフィシステム内のフロアにマウントされたモーションフィードスルー300を示す。ベローズ302により、ロードロック内で真空を維持しながら、ロードロックのフロア216に対してシャフト230が垂直並進および水平並進を行うことが可能になる。ベローズ302は、複数の金属、好適には、その周辺部および端部内が溶接されているステンレス鋼ディスクを含む。これらのベローズにより、真空密閉を維持しながら、6つの自由度で柱230が移動することが可能になる。回転シール304により、真空を維持しながらシャフト230の回転が可能になる。ベアリング306は、シャフトフランジ308を捉えて、大気圧に起因したベローズのへこみを防止する。シール304はエラストマーシール、プレロードテフロン(R)シール、または強磁性流体シールであり得ることが当業者に明らかである。304〜308によって達成される回転移動の移動は磁気結合を介しても達成され得ることが明らかである。
図3Bは、本発明のリソグラフィシステム内の壁にマウントされたモーションフィードスルー350を示す。チャンバ壁354にマウントされたベローズ352により、アライメントステージ218の垂直並進および水平並進が可能になる。ベローズ352によりさらに、アライメントステージ218がその中心ライン356周辺において限られた量だけ回転することが可能になる。この構成は、回転シールを必要とせず、したがって、図3Aの装置より漏出する傾向が低くなる。しかし、図3Aの回転シール304によっては無制限の回転が可能になるのに対し、ベローズ352によっては数個の回転の自由度しか可能にならない。ロードロック内にウェハを導入する前に、(トラックによって)ウェハ上に荒調整アライメント工程を実行する場合、限定された量の回転で十分である。本発明から逸脱せずに、第2の壁にマウントされたフィードスルー機構を、反対側の壁の穴を介して見える機構から直径方向に反対に追加して、装置の機械的安定性を向上し得ることが理解される。
図4Aは、本発明による少なくとも1つの双方向アライメントロードロックを用いたリソグラフィシステム内でウェハをパターニングする方法400を示す。このようなシステムは、双方向アライメントロードロックを1つのみまたは複数の双方向アライメントロードロックを含んで、効率およびスループットを上げ得ることに留意されたい。双方向アライメントロードロックシステムを用いた実施形態において、単方向アライメントロードロックシステムを用いた実施形態とは異なり、双方向アライメントロードロック(単数または複数)は、リソグラフィシステムに入ると(挿入)、トラック101からウェハを受け取ることができ、さらに、パターニングの後にウェハがそこに入り、そしてそこからトラック101に追い戻すこと(取出し)を可能にする。すなわち、ウェハは、トラック101から双方向アライメントロードロックに移動され、双方向アライメントロードロックからパターニングチャンバに移動され、パターニングの後、パターニングチャンバから同じ双方向アライメントロードロックに移動され、次いで、双方向アライメントロードロックからトラック101まで移動され得る。
図4Aの方法400の第1の工程410において、双方向アライメントロードロック(単数または複数)内のウェハ支持体上にウェハを配置する。図1に関して上述したように、双方向アライメントロードロック(単数または複数)内の支持体上にウェハを配置する前に、トラックからウェハを取り出し得る。双方向アライメントロードロック(単数または複数)内の支持体上にウェハを配置することは、例えば、ロボットによって達成され得る。図2Aに関して上述したように、双方向アライメントロードロック(単数または複数)内のウェハ支持体は、フックおよびピンなどを含み得る。さらに、図1に関して上述したように、双方向アライメントロードロック(単数または複数)は、ウェハ搬送チャンバからトラックを分離するゲートバルブを備えた、従来のロードロックチャンバを含み得る。高真空で動作するリソグラフィシステムにおいて、このようなウェハ搬送チャンバは高真空で維持され、一方トラックは大気圧で維持される。したがって、双方向アライメントロードロック(単数または複数)を用いて、大気圧に装置全体をさらさせずに、リソグラフィ装置自体内の高真空環境へとウェハを移動させ、そして高真空環境からウェハを移動させる。
次の工程420において、チャックに対してウェハの位置を合わせる。本明細書のいたるところで説明するように、チャックは静電チャック、真空チャック、または他の機械的クランピング機能を備えたチャックであり得る。次の工程421において、位置が合わせられたウェハをチャックに固定する。チャック421に位置が合わせられたウェハを固定することは、チャックを上方に移動してウェハと接触させて、次いで、静電チャックの場合には、静電チャックを充電し、これにより、ウェハをチャックに固定することによって達成され得る。このような充電は、アライメントステージ(静電チャックの底表面上のパッドと接触している)上のポゴコンタクトの使用を介して達成され得る。工程420および421のさらなる詳細を、図5に関して以下により詳細に説明する。
工程425において、工程420および421のうちの少なくとも1つまたは両方と同時に、双方向アライメントロードロック(単数または複数)内でポンプダウンが実行される。当業者に公知であるように、ポンプダウンは、ロードロックが気体を抜かれ、したがって、ロードロックを大気圧から高真空にもっていく手順である。本明細書のいたるところで説明するように、本発明者らは、ポンプダウン動作を、チャックに対するウェハのアライメント、およびチャックに対するウェハの固定と同時に実行することによって、本発明によるリソグラフィシステム内でより大きなスループットを実現し得ることを発見した。
次の工程430において、位置が合わせられたウェハを有するチャックは、リソグラフィのパターニングチャンバに搬送される。図1に関して上述したように、双方向アライメントロードロック(単数または複数)からリソグラフィのパターニングチャンバまでチャックを搬送することは、双方向アライメントロードロック(単数または複数)とリソグラフィのパターニングチャンバとの間に設けられたウェハ交換チャンバ内に設けられたロボットによって達成され得る。このようなロボットは二重エンドディフェクターを有して、双方向アライメントロードロック(単数または複数)とリソグラフィのパターニングチャンバとの間のチャックの搬送の効率を上げることを実現し得る。あるいは、本開示を与えられた当業者に明らかであるように、他の構造を用いて、双方向アライメントロードロック(単数または複数)からリソグラフィのパターニングチャンバまで位置が合わせられたウェハを有するチャックを搬送し得る。例えば、露光ステージのキネマティックマウント上にチャックおよびウェアを配置し得る。
位置が合わせられたウェハを有するチャックがリソグラフィのパターニングチャンバ内に配置されると、次の工程440において、リソグラフィのパターニングが実行される。当業者に公知であるように、このようなリソグラフィのパターニングは、最終のアライメント工程、およびリソグラフィのパターニング内で用いられるさらなる工程を含み得る。
次の工程450において、処理されたウェハを有するチャックを、露光ステージのキネマティックマウントから取り外して、リソグラフィのパターニングチャンバから双方向アライメントロードロック(単数または複数)に移動する。工程430に関して上述したように、リソグラフィのパターニングチャンバから双方向アライメントロードロック(単数または複数)まで処理されたウェハを有するチャックを搬送することは、ウェハ交換チャンバ内に設けられたロボットによって実行され得る。さらに、パターニングされたウェハを有するチャックは、システムに入ったのと同じ双方向アライメントロードロック(単数または複数)へと戻され得る。
次の工程460において、処理されたウェハは、双方向アライメントロードロック(単数または複数)内のチャックから取り外される。上述したように、この工程は、プロセス工程421の実質的に逆である。したがって、パターニングされたウェハを有するチャックが双方向アライメントロードロック(単数または複数)に戻された後、チャックは離され得る。チャックは、離された後、ウェハから下方へと引き下げられる。これにより、ウェハはウェハ支持体によって保持される状態になる。換気動作は、工程460と共に工程465で実行される。換気は、双方向アライメントロードロック(単数または複数)内の圧力を高真空から大気圧にするプロセスである。工程420、421および425と同様、換気工程465は工程460と同時に実行される。ポンプダウンプロセスと同様、チャックからウェハを取り外す間に換気を実行すると、本発明によるリソグラフィシステムのスループットがさらに上がる。
最終工程470において、ここで双方向アライメントロードロック(単数または複数)からパターニングされたウェハを取り外して、トラック上に配置する。あるいは、リソグラフィ装置からウェハを移動するために用いられる別の構造上にウェハを置いてもよい。当業者に明らかであるように、図4の方法400の最終工程470を実行した後、リソグラフィシステムは、第1の工程410の前の状態に戻される。したがって、方法400は、複数のウェハのリソグラフィのパターニングを行うために無限に繰り返され得る。
図4Bは、本発明による単方向アライメントロードロック(単数または複数)を用いたリソグラフィシステム内でウェハをパターニングする方法472を示す。図4Bの方法472の第1の工程474において、ウェハは挿入アライメントロードロック内のウェハ支持体上に配置される。ウェハはシステムに入ったのと同じアライメントロードロックを介してシステムを出ないため、挿入アライメントロードロックは単方向である。逆に、ウェハはパターニングチャンバ内でパターニングを受けて、取出しアライメントロードロックを介してシステムを出た(すなわち、トラック101に移動された)後、別のアライメントロードロック(取出しアライメントロードロック)に戻される。図1に関して上述したように、ウェハは、挿入アライメントロードロック内の支持体上に配置される前にトラックから取り出され得る。挿入アライメントロードロック内の支持体上にウェハを配置することは、例えば、ロボットで達成され得る。
図2Aに関して上述したように、挿入アライメントロードロック内のウェハ支持体は、フックおよびピンなどを含み得る。さらに、図1に関して上述したように、挿入アライメントロードロックは、ウェハ搬送チャンバからトラックを分離するゲートバルブを備えた、従来の挿入ロードロックチャンバを含み得る。高真空で動作するリソグラフィシステムにおいて、このようなウェハ搬送チャンバは高真空で維持され、一方トラックは大気圧で維持される。したがって、挿入アライメントロードロックを用いて、大気圧に装置全体をさらさせずに、リソグラフィ装置自体内の高真空環境へとウェハを移動する。
次の工程476において、ウェハはチャックに対して位置が合わせられる。本明細書のいたるところで説明するように、チャックは静電チャック、真空チャック、または他の機械的クランピング機能を備えたチャックであり得る。次の工程478において、位置が合わせられたウェハをチャックに固定する。チャックに位置が合わせられたウェハを固定することは、チャックを上方に移動してウェハと接触させて、次いで、静電チャックの場合には、静電チャックを充電し、これにより、ウェハをチャックに固定することによって達成され得る。このような充電は、アライメントステージ(静電チャックの底表面上のパッドと接触している)上のポゴコンタクトの使用を介して達成され得る。工程476および478のさらなる詳細を、図5に関して以下により詳細に説明する。
工程480において、工程476および478のうちの少なくとも1つまたは両方と同時に、挿入アライメントロードロック内でポンプダウンが実行される。
次の工程482において、位置が合わせられたウェハを有するチャックは、リソグラフィのパターニングチャンバに搬送される。図1に関して上述したように、挿入アライメントロードロックからリソグラフィのパターニングチャンバまでチャックを搬送することは、挿入アライメントロードロックとリソグラフィのパターニングチャンバとの間に設けられたウェハ交換チャンバ内に設けられたロボットによって達成され得る。あるいは、本開示を与えられた当業者に明らかであるように、他の構造を用いて、挿入アライメントロードロックからリソグラフィのパターニングチャンバまで位置が合わせられたウェハを有するチャックを搬送し得る。例えば、露光ステージのキネマティックマウント上にチャックおよびウェアを配置し得る。
位置が合わせられたウェハを有するチャックをリソグラフィのパターニングチャンバ内に置いた後、次の工程484において、リソグラフィのパターニングを実行する。当業者に公知であるように、このようなリソグラフィのパターニングは、最終のアライメント工程、およびリソグラフィのパターニング内で用いられるさらなる工程を含み得る。
次の工程486において、処理されたウェハを有するチャックを、露光ステージのキネマティックマウントから取り外して、リソグラフィのパターニングチャンバから取出しアライメントロードロックに移動する。取出しアライメントロードロックは挿入アライメントロードロックと同じアライメントロードロックではないことに留意されたい。ウェハは、リソグラフィのパターニングチャンバを出た後、取出しアライメントロードロックのみを介して移動され、そしてトラック101に戻される必要がある。工程482に関して上述したように、リソグラフィのパターニングチャンバから取出しアライメントロードロックまで処理されたウェハを有するチャックを搬送することは、ウェハ交換チャンバ内に設けられたロボットによって実行され得る。
次の工程488において、処理されたウェハは取出しアライメントロードロック内のチャックから取り外される。上述したように、この工程は、プロセス工程478の実質的に逆である。したがって、処理されたウェハを有するチャックが取出しアライメントロードロックに移動された後、チャックは離され得る。チャックは、離された後、ウェハから下方へと引き下げられる。これにより、ウェハはウェハ支持体によって保持される状態になる。工程488と同時に、換気動作は、共同工程490で実行される。工程476、478および480と同様、換気工程480は工程476と同時に実行される。
最終工程492において、ここで取出しアライメントロードロックから処理されたウェハを取り外して、トラック上に配置する。あるいは、リソグラフィ装置からウェハを移動させるために用いられる別の構造上にウェハを置いてもよい。当業者に明らかであるように、図4の方法472の最終工程492を実行した後、リソグラフィシステムは、第1の工程474の前の状態に戻される。したがって、方法472は、複数のウェハのリソグラフィのパターニングを行うために無限に繰り返され得る。
図5は、本発明によるアライメントロードロック内でウェハの位置を合わせる方法500を示す。第1の工程510において、ウェハをウェハ支持体上に配置する。本明細書のいたるところで説明するように、このようなウェハ支持体は、フックおよびピンなどを含み得る。本明細書のいたるところで説明するように、当業者にとって明らかであるように、ロボットまたは他のウェハ搬送メカニズムの使用を介して、ウェハ支持体上にウェハを置き得る。
次の工程520において、ウェハの方向および位置が監視される。図2Aに関して上述したように、例えば、アライメントロードロックの外側に設けられたカメラおよび照射源を用いて、このような監視を行い得る。ウェハの位置は、カメラのビューフィールド内で監視されるウェハの曲率半径を分析することによってカメラによって監視される。ウェハに関して本明細書において用いる「位置」という用語は、XY平面内のウェハの位置を意味する。したがって、ウェハの曲率半径を見ることによって、ウェハの中心の位置がパターン識別装置を用いて決定され得る。本明細書において説明するタイプと同様のカメラおよび照射源に関するこのようなパターン識別装置およびその動作は当業者に周知である。
ウェハの特定の方向(すなわち、その中心周辺の角方向)は、カメラのビューフィールド内にさらに設けられたウェハ内のノッチ位置に注意することによって決定される。最初の監視の際に、カメラのビューフィールド内にノッチを設けることを保証するには、図5に示す方法の前に荒調整アライメントを行い得る。このような荒調整アライメントは、例えば、トラック内に設けられ得るエッジセンサを備えたウェハ回転モジュールの使用を含み得る。このような荒調整事前アライメント技術は当業者に公知であるため、本明細書においてより完全に説明しない。ウェハの位置および方向の監視をカメラ1つの観点から説明したが、ビューフィールドが狭い複数のカメラを用いて、アライメントの精度を上げてもよい。複数のカメラを用いてウェハの周囲に沿った異なる視点に方向付けることによって、カメラを1つ用いるよりも、より正確に中心位置およびノッチの方向を決定し得る。
工程520と同時に実行され得る工程525において、チャックに対してウェハの位置を合わせるようにチャックを移動する。本明細書のいたるところで説明するように、このようなチャックは静電チャックおよび真空チャックなどであり得る。図2Bに関して説明したアライメントステージの使用を介してウェハに対してチャックを移動する。ウェハを監視するために用いられたカメラからデータを受信したのと同じパターン識別装置によってアライメントステージの移動を制御する。パターン識別装置はウェハ上の正確な位置を知っている。パターン識別装置はさらに、アライメントステージからの位置フィードバックの効果によって、アライメントステージの正確な位置を知る。カメラによってチャックを直接監視することによって、パターン識別装置は、ウェハがチャックに対して位置が合わせられるまで、アライメントステージにウェハに対してチャックを移動させ得る(チャックの直径はウェハの直径より意図的に少し大きい)。
チャックおよびウェハが相互に対して位置が合わせられた後、ウェハに接触するようにチャックを配置する次の工程530を実行する。例えば、チャックがウェハの底表面と物理的に接触するまで、チャックを上方に移動することによって、これを達成し得る。図2Aに関して説明したように、チャックは、例えば、ウェハを保持するウェハ支持体を収める切り取り部を有し得る。したがって、チャックがウェハの底表面と接触するまでチャックを上方に移動した場合、ウェハ支持体がチャックの切り取り部内にあるため、ウェハ支持体はチャックの邪魔にならない。チャックをウェハと接触するように置いた後、次の工程540においてチャックをウェハに固定する。静電チャックの場合、チャックを充電することによって、ウェハにチャックを固定し得る。あるいは、ウェハにチャックを固定することは、真空チャック内を真空にすることによって実行し得る。ウェハにチャックを固定する他の方法を実行しても本発明の範囲から逸脱しない。
工程540においてチャックにウェハを固定した後、本発明によるリソグラフィシステム内で、ウェハに対するアライメントを維持しながら、チャックを移動し得る。チャックがキネマティックマウント機能を備えているため、露光ステージに対するウェハのアライメントは常に、リソグラフィシステム内で用いられるキネマティックマウントの再現性内にある。通常、このようなキネマティックマウントの再現性は約2ミクロン内である。一方、ロボットおよびグリッパの再現性は通常、数百ミクロンである。したがって、ロボットの移動に続いて微調整アライメントを実行する従来の工程は、ウェハをチャックにマウントしたままで移動することによって省き得る。微調整アライメントは依然必要である。しかし、ロボットが移動した後に微調整アライメントを実行すると、微調整アライメントプロセスが容易になる。したがって、本発明によるリソグラフィシステムは、図1に関して上述したように、システム内に複数のチャックを用いることによって、高いレベルのスループット(例えば、1時間あたり120個のウェハ)を達成し得る。
本発明の一つの実施形態によれば、リソグラフィのパターニングチャンバと、第1のゲートバルブによって上記リソグラフィのパターニングチャンバから分離されたウェハ交換チャンバと、第2のゲートバルブによって上記ウェハ交換チャンバから分離された少なくとも1つのアライメントロードロックとを含むリソグラフィシステムが提供される。上記アライメントロードロックは、ポンプダウンの間にウェハの位置を合わせるアライメントステージを含む。本発明によるアライメントロードロックは、単方向または双方向であり得る。同様に、本発明によるリソグラフィシステムは、1つまたは複数のアライメントロードロックを含み得る。さらに本発明として開示するのは、リソグラフィシステム内でウェハをパターニングする方法である。上記方法は、アライメントロードロック内の支持体上に上記ウェハを配置する第1の工程を含み得る。次の工程において、上記アライメントロードロック内で、かつ、上記支持体上に上記ウェハを支持した状態で、チャックに対して相対的に上記ウェハの位置を合わせる。別の工程において、上記ウェハを上記チャックに固定する。さらに別の工程において、ポンプダウンを実行して、上記アライメントロードロック内に真空を生成する。
本発明を真空内で動作するリソグラフィシステムの観点から説明してきたが、非真空システムとして本発明を実施しても本発明の範囲から逸脱しない。このようなシステムにおいて、アライメントロードロックとして上述してきたものは、ロードロックのポンプダウンおよび換気特性の無いアライメントおよびチャッキングステーションであり得る。さらに、説明したポンプダウンおよび換気工程の無い方法を本発明によって実行し得る。
本発明の種々の実施形態を上述したが、これらは例示として提示しているのであって、本発明を限定しないことが理解されるべきである。当業者であれば、上掲の特許請求の範囲に規定する本発明の意図および範囲から逸脱せずに、本発明に形態および詳細において種々の変更を行い得ることを理解する。したがって、本発明の幅および範囲は、上述の例示の実施形態にいずれによっても限定されるべきではなく、上掲の特許請求の範囲およびその均等物によってのみ規定されるべきである。
図1は、本発明によるリソグラフィシステムを示す図である。 図2Aは、本発明によるアライメントロードロック内の上部エレメントの分解図である。 図2Bは、本発明によるアライメントロードロック内の下部エレメントの分解図である。 図3Aは、本発明によるリソグラフィシステム内のフロアにマウントされたモーションフィードスルー300を示す図である。 図3Bは、本発明によるリソグラフィシステム内の壁にマウントされたモーションフィードスルー350を示す図である。 図4Aは、本発明による双方向ロードロック(単数または複数)を用いるリソグラフィシステム内でウェハをパターニングする方法を示す図である。 図4Bは、本発明による単方向ロードロック(単数または複数)を用いるリソグラフィシステム内でウェハをパターニングする方法を示す図である。 図5は、本発明によるアライメントロードロック内のウェハの位置を合わせる方法を示す図である。
符号の説明
101 トラック
104、105 アライメントロードロック
106 ウェハ交換チャンバ
111 リソグラフィパターニングチャンバ

Claims (24)

  1. (a)アライメントロードロックにおいて、基板に対してアライメントステージを移動して、該アライメントステージに対して基板の位置を合わせる工程と、
    (b)該位置を合わせたステージ及び基板を基板交換チャンバへ移送する工程と、
    (c)該位置を合わせたステージ及び基板を該基板交換チャンバとリソグラフィパターニングチャンバとの間で移送する工程とを包含する、
    方法。
  2. (d)ポンプダウンを実行して、前記アライメントロードロック内に真空を生成する工程をさらに包含する、
    請求項1に記載の方法。
  3. 前記工程(d)は、前記工程(a)と同時に実行される、
    請求項2に記載の方法。
  4. (d)前記リソグラフィパターニングチャンバにおいて、該基板上にリソグラフィのパターニングを実行する工程と、
    (e)前記位置を合わせたステージ及び基板を前記アライメントロードロックに戻す工程と、
    (f)該ステージから該基板を取り外す工程とをさらに包含する、
    請求項1ないし3のいずれか一項に記載の方法。
  5. (g)前記アライメントロードロックを換気する工程をさらに包含する、
    請求項4に記載の方法。
  6. 前記工程(f)及び(g)は同時に実行される、
    請求項5に記載の方法。
  7. 前記工程(a)は、
    (a1)前記アライメントロードロック内の支持体上に前記基板を配置する工程と、
    (a2)該アライメントロードロック内の該支持体上の該基板の位置及び方向を検出する工程と
    a4)該基板を該ステージに固定する工程とを包含する、
    請求項1ないし6のいずれか一項に記載の方法。
  8. 前記工程(a2)は、前記アライメントロードロック内の前記支持体上の前記基板の位置及び方向を、該アライメントロードロック外に設けられた検出器によって検出する工程を包含する、
    請求項7に記載の方法。
  9. 前記工程(a)は、前記ステージが前記基板を押し上げて該基板が前記支持体から離れるまで、該ステージを上方に移動する工程を包含する、
    請求項1ないし8のいずれか一項に記載の方法。
  10. 前記チャックは静電ステージであり、
    前記工程(a4)は、該静電ステージを充電する工程を包含する、
    請求項7に記載の方法。
  11. a)アライメントロードロック内で、基板に対して基板支持体を移動して、該基板支持体に対して基板の位置を合わせる工程と、
    (b)該工程(a)の間とほぼ同時に、該アライメントロードロック内の気圧を第1の気圧から第2の気圧に調節する工程と、
    (c)該アライメントロードロックにおいて、該位置を合わせた基板を該基板支持体にしっかりと連結する工程と、
    (d)該しっかりと連結され位置を合わせた基板支持体上の基板を該アライメントロードロックからリソグラフィパターニングチャンバへ移送する工程とを包含する、
    方法。
  12. 前記しっかりと連結され位置を合わせた基板は、前記第2の気圧を有するエリアを介して前記アライメントロードロックから該第2の気圧を有する前記他のリソグラフィパターニングチャンバへ移送され、
    (e)該他のリソグラフィパターニングチャンバにおいて、該しっかりと連結され位置を合わせた基板をパターニングする工程をさらに包含する、
    請求項11に記載の方法。
  13. 記工程(a)は、
    前記基板支持体をアライニングステージにキネマティックに位置を合わせる工程と、
    該基板支持体に対する前記基板の位置を、カメラを用いて測定する工程と、
    該測定する工程に基づいて、該カメラで位置信号を生成する工程と、
    該位置信号に基づいて、該アライニングステージを用いて位置あわせを実行する工程とを包含する、
    請求項11または12に記載の方法。
  14. 前記キネマティックに位置を合わせる工程は、前記基板支持体の表面上の半球を、前記アライニングステージの表面上のV型ブロックと位置を合わせる工程を包含する、
    請求項13に記載の方法。
  15. 前記基板支持体を前記アライニングステージに電気的に連結する工程をさらに包含する、
    請求項13に記載の方法。
  16. 前記電気的に連結する工程は、前記アライニングステージから突出するポゴコンタクトを前記基板支持体上のコンタクトパッドで受ける工程を包含する、
    請求項15に記載の方法。
  17. 記基板支持体として静電チャックを用いる工程をさらに包含する、
    請求項11ないし16のいずれか一項に記載の方法。
  18. 前記基板支持体として真空チャックを用いる工程をさらに包含する、
    請求項11ないし16のいずれか一項に記載の方法。
  19. 前記工程(a)は、複数の前記基板を複数の前記基板支持体のそれぞれと位置を合わせる工程をさらに包含し、
    前記工程(c)は、複数の該位置を合わせた基板を複数の該基板支持体のそれぞれにしっかりと連結する工程をさらに包含する、
    請求項11ないし18のいずれか一項に記載の方法。
  20. リソグラフィシステムであって、
    リソグラフィのパターニングチャンバと、
    該リソグラフィのパターニングチャンバに結合されたウェハ交換チャンバと、
    第1のゲートバルブによって該ウェハ交換チャンバから分離された少なくとも1つのアライメントロードロックであって、ウェハの位置を合わせるアライメントステージを含む少なくとも1つのアライメントロードロックと
    を含み、
    記少なくとも1つのアライメントロードロックを真空にするポンプダウンの期間に、ウェハに対してアライメントステージを移動して、該アライメントステージに対して該ウェハの位置を合わせる、
    リソグラフィシステム。
  21. ポンプダウンステージの期間に前記ウェハの位置を合わせる前記アライメントステージは、前記ウェハの位置合わせ及び前記少なくとも1つのアライメントロードロックのポンプダウンの期間に充電するように構成された静電チャックをさらに含む、
    請求項20に記載のリソグラフィシステム。
  22. 前記少なくとも1つのアライメントロードロックは、第1の壁を含み、該第1の壁は、該第1の壁を通って延びる柱が移動することを可能にするモーションフィードスルーシールを含む開口部を有する、
    請求項20または21に記載のリソグラフィシステム。
  23. 前記モーションフィードスルーシールは、前記第1の壁の開口部をシールするベローズを含む、
    請求項22に記載のリソグラフィシステム。
  24. 前記モーションフィードスルーシールは、回転シールなしで前記アライメントステージが回転可能になるように構成される、
    請求項22または23に記載のリソグラフィシステム。
JP2008311503A 2001-10-19 2008-12-05 リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム Expired - Fee Related JP4827913B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/981,992 2001-10-19
US09/981,992 US6778258B2 (en) 2001-10-19 2001-10-19 Wafer handling system for use in lithography patterning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002304998A Division JP4493070B2 (ja) 2001-10-19 2002-10-18 リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法

Publications (3)

Publication Number Publication Date
JP2009088555A JP2009088555A (ja) 2009-04-23
JP2009088555A5 JP2009088555A5 (ja) 2009-06-18
JP4827913B2 true JP4827913B2 (ja) 2011-11-30

Family

ID=25528779

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002304998A Expired - Fee Related JP4493070B2 (ja) 2001-10-19 2002-10-18 リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
JP2008311503A Expired - Fee Related JP4827913B2 (ja) 2001-10-19 2008-12-05 リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002304998A Expired - Fee Related JP4493070B2 (ja) 2001-10-19 2002-10-18 リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法

Country Status (5)

Country Link
US (3) US6778258B2 (ja)
EP (1) EP1304727A3 (ja)
JP (2) JP4493070B2 (ja)
KR (1) KR100736300B1 (ja)
TW (1) TW561522B (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
JP4048412B2 (ja) * 2002-01-23 2008-02-20 東京エレクトロン株式会社 載置台の除電機構及び検査装置
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
US7430104B2 (en) * 2003-03-11 2008-09-30 Appiled Materials, Inc. Electrostatic chuck for wafer metrology and inspection equipment
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
EP1491967A1 (en) * 2003-06-27 2004-12-29 ASML Netherlands B.V. Method and apparatus for positioning a substrate on a substrate table
US7340087B2 (en) * 2003-07-14 2008-03-04 Rudolph Technologies, Inc. Edge inspection
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8634633B2 (en) 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20080135788A1 (en) * 2003-11-10 2008-06-12 Fogel Paul E Wafer center finding with contact image sensors
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20070030467A1 (en) * 2004-02-19 2007-02-08 Nikon Corporation Exposure apparatus, exposure method, and device fabricating method
GB2414858A (en) * 2004-06-03 2005-12-07 Nanobeam Ltd A workpiece or specimen support assembly for a charged particle beam system
US7408615B2 (en) * 2004-06-21 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7041989B1 (en) * 2004-10-22 2006-05-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070258068A1 (en) * 2005-02-17 2007-11-08 Hiroto Horikawa Exposure Apparatus, Exposure Method, and Device Fabricating Method
US20060213537A1 (en) * 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
US20090021706A1 (en) * 2005-06-01 2009-01-22 Nikon Corporation Immersion fluid containment system and method for immersion lithogtraphy
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
JP2007042929A (ja) * 2005-08-04 2007-02-15 Hitachi High-Tech Control Systems Corp ロードロック装置とその方法及び半導体製造装置
JP4781049B2 (ja) * 2005-08-30 2011-09-28 キヤノン株式会社 露光装置およびデバイス製造方法
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
CN101627239A (zh) * 2007-03-06 2010-01-13 先进真空公司 用于真空泵的密封衬套
US20080225261A1 (en) * 2007-03-13 2008-09-18 Noriyuki Hirayanagi Exposure apparatus and device manufacturing method
US8236579B2 (en) * 2007-03-14 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for lithography alignment
US8705010B2 (en) 2007-07-13 2014-04-22 Mapper Lithography Ip B.V. Lithography system, method of clamping and wafer table
TWI450047B (zh) 2007-07-13 2014-08-21 Mapper Lithography Ip Bv 微影系統、夾緊方法及晶圓台
CN102084468B (zh) 2008-02-08 2014-10-29 朗姆研究公司 包括横向波纹管和非接触颗粒密封的可调节间隙电容耦合rf等离子反应器
KR100977582B1 (ko) 2008-03-12 2010-08-23 세메스 주식회사 반도체 제조 장비의 기판 정렬 과정에서 기판 이송 방법 및반도체 제조 장비
US8902560B2 (en) * 2008-10-31 2014-12-02 Axcelis Technologies, Inc. Electrostatic chuck ground punch
US7952851B2 (en) * 2008-10-31 2011-05-31 Axcelis Technologies, Inc. Wafer grounding method for electrostatic clamps
EP3144955A1 (en) * 2009-05-20 2017-03-22 Mapper Lithography IP B.V. Method for exposing a wafer
NL2005586A (en) * 2009-12-02 2011-06-06 Asml Netherlands Bv Lithographic apparatus and sealing device for a lithographic apparatus.
JP5316521B2 (ja) * 2010-03-31 2013-10-16 株式会社安川電機 基板搬送システム、基板処理システムおよび基板搬送ロボット
US9564320B2 (en) * 2010-06-18 2017-02-07 Soraa, Inc. Large area nitride crystal and method for making it
TWI514089B (zh) 2011-04-28 2015-12-21 Mapper Lithography Ip Bv 在微影系統中用於轉移基板的設備
KR20150005616A (ko) * 2012-05-02 2015-01-14 에이씨엠 리서치 (상하이) 인코포레이티드 웨이퍼 위치 검출 장치 및 방법
JP6087573B2 (ja) * 2012-10-23 2017-03-01 キヤノン株式会社 処理装置、それを用いた物品の製造方法
US9293355B2 (en) 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
JP6357187B2 (ja) * 2016-03-31 2018-07-11 キヤノン株式会社 搬送装置、リソグラフィ装置、および物品の製造方法
JP6580544B2 (ja) * 2016-10-20 2019-09-25 エーエスエムエル ネザーランズ ビー.ブイ. 基板支持ユニット上に基板を位置合わせする方法および装置
US10133186B2 (en) 2016-10-20 2018-11-20 Mapper Lithography Ip B.V. Method and apparatus for aligning substrates on a substrate support unit
CN107706141A (zh) * 2017-09-19 2018-02-16 上海微松工业自动化有限公司 一种半导体前置模块晶圆的传输工艺
US11466384B2 (en) 2019-01-08 2022-10-11 Slt Technologies, Inc. Method of forming a high quality group-III metal nitride boule or wafer using a patterned substrate
KR20230152780A (ko) * 2019-11-26 2023-11-03 램 리써치 코포레이션 기판 프로세싱 툴을 위한 페데스탈 키네마틱 마운트로의 캐리어 링
CN111261565B (zh) * 2020-01-21 2023-11-14 北京北方华创微电子装备有限公司 一种半导体设备及其晶圆传输腔室和晶圆传输方法
US11721549B2 (en) 2020-02-11 2023-08-08 Slt Technologies, Inc. Large area group III nitride crystals and substrates, methods of making, and methods of use
US11705322B2 (en) 2020-02-11 2023-07-18 Slt Technologies, Inc. Group III nitride substrate, method of making, and method of use
US11263755B2 (en) * 2020-07-17 2022-03-01 Nanya Technology Corporation Alert device and alert method thereof
US11610799B2 (en) * 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
KR102305139B1 (ko) * 2021-02-24 2021-09-28 피에스케이 주식회사 로드락 챔버 및 기판 처리 장치
KR102614741B1 (ko) * 2021-08-14 2023-12-14 램 리써치 코포레이션 반도체 제조 툴들에서 사용하기 위한 클록 가능한 (clockable) 기판 프로세싱 페데스탈

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3005373B2 (ja) * 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
EP0595307A3 (en) * 1992-10-27 1994-06-15 Applied Materials Inc Clamp ring and processing chamber comprising said clamp ring
JPH07302746A (ja) * 1994-05-10 1995-11-14 Hitachi Ltd 静電吸着装置
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
JP3734095B2 (ja) * 1994-09-12 2006-01-11 株式会社ニコン 基板処理装置
JPH0888158A (ja) * 1994-09-16 1996-04-02 Toshiba Corp 電子ビーム描画装置
JPH1022226A (ja) * 1996-07-05 1998-01-23 Super Silicon Kenkyusho:Kk エピタキシャルウエハ製造方法及び装置
US5748827A (en) * 1996-10-23 1998-05-05 University Of Washington Two-stage kinematic mount
JPH10135301A (ja) * 1996-10-30 1998-05-22 Hitachi Techno Eng Co Ltd ウエハ処理装置
JPH10209010A (ja) * 1997-01-21 1998-08-07 Nikon Corp 荷電ビーム露光方法,荷電ビーム露光装置およびパレット
JP3661138B2 (ja) * 1998-04-04 2005-06-15 東京エレクトロン株式会社 アライメント高速処理機構
US20080209758A9 (en) * 2005-06-27 2008-09-04 Dominique Thifault Pocket ventilator
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
KR20010112496A (ko) * 1999-05-20 2001-12-20 시마무라 테루오 홀더용 콘테이너, 노광장치 및 디바이스 제조방법, 그리고디바이스 제조장치
JP4294172B2 (ja) * 1999-07-19 2009-07-08 シンフォニアテクノロジー株式会社 ロードロック装置およびウェハ搬送システム
JP2001091681A (ja) * 1999-09-28 2001-04-06 Kyocera Corp Xyステージ機構及び露光装置
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
AU3271801A (en) 1999-11-30 2001-06-12 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
US6791661B2 (en) * 1999-12-09 2004-09-14 Nikon Corporation Gas replacement method and apparatus, and exposure method and apparatus
JP4689064B2 (ja) * 2000-03-30 2011-05-25 キヤノン株式会社 露光装置およびデバイス製造方法
JP2001284234A (ja) * 2000-03-31 2001-10-12 Toshiba Corp 荷電粒子ビーム露光装置及び露光方法
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning

Also Published As

Publication number Publication date
KR100736300B1 (ko) 2007-07-06
TW561522B (en) 2003-11-11
US6927842B2 (en) 2005-08-09
US20050264791A1 (en) 2005-12-01
EP1304727A3 (en) 2004-12-15
JP4493070B2 (ja) 2010-06-30
US20040257554A1 (en) 2004-12-23
US20030082466A1 (en) 2003-05-01
KR20030032879A (ko) 2003-04-26
US6778258B2 (en) 2004-08-17
EP1304727A2 (en) 2003-04-23
JP2003188094A (ja) 2003-07-04
JP2009088555A (ja) 2009-04-23
US7298459B2 (en) 2007-11-20

Similar Documents

Publication Publication Date Title
JP4827913B2 (ja) リソグラフィのパターニングにおいて用いるウェハ処理の方法、および、リソグラフィシステム
US7145643B2 (en) Interface unit, lithographic projection apparatus comprising such an interface unit and a device manufacturing method
US7726891B2 (en) Substrate processing apparatus and substrate processing method
US6900878B2 (en) Reticle-holding pods and methods for holding thin, circular reticles, and reticle-handling systems utilizing same
US9851643B2 (en) Apparatus and methods for reticle handling in an EUV reticle inspection tool
US7658560B2 (en) Substrate processing apparatus
KR20010014775A (ko) 전사투영장치용 기판 핸들러
US10049904B1 (en) Method and system for moving a substrate
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
US20020074635A1 (en) Exposure apparatus, holder container, device manufacturing method, and device manufacturing unit
US7307695B2 (en) Method and device for alignment of a substrate
US20050095116A1 (en) Positioning apparatus
KR20010043979A (ko) 노광장치 및 그의 제조방법, 기판반송방법, 그리고디바이스 제조방법 및 디바이스
US7705964B2 (en) Exposure system and exposure method
KR20060011671A (ko) 파티클 감지수단을 갖는 노광설비의 얼라인장치
JP2000068351A (ja) 基板処理装置
JPS62102522A (ja) 露光方法および装置
CN116057467B (zh) 用于原位载物台校正的有源光罩载体
KR100567518B1 (ko) 웨이퍼의 프리얼라인 방법
JP2008198754A (ja) 露光装置
KR20050035714A (ko) 웨이퍼 핸들링 시스템
JP2000138203A (ja) X線マスク用カセット、x線マスク製造装置及び製造方法
JP2004158643A (ja) 露光方法及び露光装置
JP2009239055A (ja) 基板搬送装置、露光装置、及びデバイス製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110817

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110913

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140922

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees