JP2013038433A - 容量結合型高周波プラズマ反応器における電極間隙を調整する装置 - Google Patents

容量結合型高周波プラズマ反応器における電極間隙を調整する装置 Download PDF

Info

Publication number
JP2013038433A
JP2013038433A JP2012200841A JP2012200841A JP2013038433A JP 2013038433 A JP2013038433 A JP 2013038433A JP 2012200841 A JP2012200841 A JP 2012200841A JP 2012200841 A JP2012200841 A JP 2012200841A JP 2013038433 A JP2013038433 A JP 2013038433A
Authority
JP
Japan
Prior art keywords
assembly
processing apparatus
plasma processing
plasma
cantilever
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012200841A
Other languages
English (en)
Inventor
Rajinder Dinjar
ラジンダ− ディンジャ,
Eric H Lenz
エリック, エイチ. レンツ,
Andy W Desepte
アンディ, ダブリュ. デズート,
liu-min Li
ルミン リ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013038433A publication Critical patent/JP2013038433A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】フィーチャの微細化や基板サイズの大型化に対応し、プラズマの密度や基板面にわたる均一性のためのプラズマのパラメータの制御が可能となる装置を提供する。
【解決手段】プラズマチャンバは、大気負荷を中立化するように構成されたカンチレバー組立体を含む。前記チャンバは壁を含み、前記壁は、内部領域を囲み、かつ、該壁に形成された開口部を有する。前記カンチレバー組立体は、前記チャンバ内で基板を支持する基板体を含む。前記カンチレバー組立体は、一部分が前記チャンバの外に配置されるように開口部を通って伸びている。前記チャンバは、前記壁に対して相対的に前記カンチレバー組立体を動かすように動作する駆動機構を含む。
【選択図】なし

Description

本発明は、容量結合型高周波プラズマ反応器における電極間隙を調整する装置に関する。
集積回路は、一般に、パターニングされた微細エレクトロニクス層がその上に形成されたウエハーから形成される。基板の処理において、プラズマは、基板上に膜を堆積するために、または、膜の意図された部分を削るために利用される。次世代の微細エレクトロニクス層におけるフィーチャ(feature)サイズの縮小や新しい材料の実施はプラズマ処理装備に対して新しい要求をしてきた。フィーチャの微細化、基板サイズの大型化、そして、新しい処理技術は望ましい成果を得るために、プラズマの密度や基板面にわたる均一性など、プラズマのパラメータの制御に対するさらなる要求を生み出す。
プラズマ処理装置の典型的な具体例は、内部領域を囲み且つ開口部を有する壁を含むチャンバと、前記壁の前記開口部を通って伸び前記内部領域の外側に設置された外側部分を有するアーム部および前記アーム部上かつ前記内部領域内に配置された基板支持体を含むカンチレバー組立体と、前記アーム部の前記外側部分に結合されており前記壁に対して相対的に前記カンチレバー組立体を動かすように動作する駆動機構と、前記アーム部の前記外側部分および前記壁によって部分的に囲まれ空間を封鎖し、前記内部領域と流体連結(連通)された少なくとも一つの真空隔離部材とを備え、前記真空隔離部材が、前記カンチレバー組立体上の大気負荷が中立化されるように前記空間を真空隔離する。
基板を処理するためのプラズマチャンバの典型的な具体例は、内部領域を囲み且つ開口部を有する壁と、前記開口部を通って伸びていて、前記内部領域内にある第1端にある基板支持体表面および前記内部領域外にある第2端を含むカンチレバー組立体と、前記第2端に結合され前記基板支持体表面と垂直であり且つ互いに反対の方向に前記カンチレバー組立体を動かすように動作する駆動機構とを備える。
上部電極組立体と、内部領域を囲み且つ開口部を有する壁とを備える容量結合型プラズマチャンバにおける電極間間隙を調整するための装置は、カンチレバー組立体と、駆動機構とを備え、前記カンチレバー組立体は、下部電極と、第1端にある基板支持体表面と、第2端とを含み、前記第1端が前記内部領域にあり、前記第2端が前記内部領域の外側にあるように前記開口部を通って伸びるように構成され、前記駆動機構は、前記第2端に結合され前記基板支持体表面と垂直であり且つ互いに反対の方向に前記カンチレバー組立体を動かすように動作する。
容量結合プラズマ処理装置の模式図を示している。 カンチレバー組立体を含む容量結合型プラズマ処理装置の具体的な断面模式図である。 図2の領域Aの拡大図を示している。 図2に示されたカムリングとモーターの上面模式図である。 図2の領域Bの拡大模式図である。
図1は、プラズマ処理装置の容量結合型プラズマチャンバ100を説明している。図1に描かれているように、下部電極組立体は、フォーカスリング108、および、チャンバが動作している間において基板106を所定位置に保持するためのチャック104を含む。チャック104には、高周波電源110によって高周波電力が供給される。図示されたチャンバ100において、下部電極組立体は、チャンバの壁118に固定されている。上部電極組立体は、上部電極114、バッフル116、および、円柱体123を含む。円柱体23から上部電極114およびバッフル116がつるされている。上部電極114は、運転中において、接地されるか、別の高周波電源120によって電力が供給されることができる。バッフル116および上部電極114を通して供給されるガスは、間隙125においてプラズマをつくるために電気的に励起される。間隙125にあるプラズマは、閉じ込めリング102によって閉じ込められる。プラズマ中のガスのいくつかは、閉じ込めリング102の間の空間/間隙を通過し、チャンバから排気される。
図示されたチャンバ100において、上部電極組立体と下部電極組立体との間の間隙125を調整するために、上部電極組立体の全体が駆動機構124によって上昇もしくは下降される。シール機構126が、下部電極組立体に対して上部電極組立体が動かすことを許容しながら、円柱体122と反応器上部112との間に真空シールを提供するために使用されうる。円柱体122の一部分123は大気圧力を受ける一方で、上部電極組立体の残りの部分は低い圧力を受ける、上部電極組立体が一つの一体的なものとして移動すると、上部電極組立体の表面における圧力の合計は、大気負荷と呼ばれる下向きの力を生ずる。他のタイプのチャンバでは、上部電極組立体が固定されうる一方で、下部電極組立体が電極間の間隙を調整するために上下に動かされうる。このようなチャンバでは、下部電極組立体の上部と下部がそれぞれ低い圧力と大気圧力を受けているので、下部電極組立体は大気受負荷を上方向に受ける。
この実施形態では、大気負荷は、上部電極114、円柱体122の断面の直径、間隙125におけるプラズマの圧力、および、チャンバ上部112におけるチャンバ圧力を含むパラメータに依存する。大気負荷は、上部(下部)電極組立体が移動している間に存在し、大気負荷は変化しうるので、大気負荷を中立化することができる容量結合型チャンバを持ち、そのことによって、より正確に前記間隙を制御することができることは望ましい。
図2は、プラズマ処理装置の容量結合型プラズマチャンバ200の典型的な具体例を示している。チャンバ200は、電極間隙を正確に制御することができるように大気負荷を中立化することができる。チャンバ200は、壁204、当該壁の上に搭載された上部電極組立体202、上部電極組立体202の上部を取り囲むチャンバ上部206を含む。上部電極組立体202は、上部電極203と、上部電極203と下部電極組立体212との間に定義される間隙207内に処理ガスを分配するためのガス経路を含む1または複数のバッフル205とを含む。簡潔に言うと、上部電極組立体202は、3つの部品を持つように示されている。しかし、上部電極組立体は、追加の部品を含むことができる。壁204は、基板がチャンバ200の中に出し入れされるときに通る開閉口208を持つ。
簡潔にいうと、ガス経路が一つだけ図2の中に示されている。追加のガスラインが上部電極組立体202に結合され、ガスは、チャンバの壁204の他の部分および/またはチャンバ上部206を通して供給されうる。
チャンバ200は、駆動機構228によって上下されるカンチレバー組立体210を備えている。カンチレバー組立体210は、カンチレバーアーム214と、外側導電リング241と、下部電極組立体212と、下部電極組立体212から外側導電リング241を電気的に絶縁するための絶縁体238とを含む。下部電極組立体212は、一つの部品を持つように示されている。しかし、下部電極組立体212は、下部電極や動作中に下部電極組立体212の上の所定位置に基板を保持するためのチャックのような追加の部品を含むことができる。チャックは、静電チャック、真空チャック、または機械的チャックでありうる。下部電極には、一般に、1または複数の高周波電源216から高周波電力が供給される。交流電力は、たとえば、2MHzから約27MHzまでの周波数を有しうる。間隙207にプラズマをつくるために、処理ガスが高周波電力によって励起される。基板を持ち上げるためのリフトピン機構、光センサー、下部電極組立体212を冷却するための冷却機構のような他の適当な機構が下部電極212に取り付けられたり、下部電極212の部分を構成したりする。設備部品224は、これらの他のタイプの機構をまとめて表している。
アーム214は、一般的に円筒形を有する。アーム214は、好ましくは導電性の金属で形成される。アーム214の外側表面は反応性の処理ガスに曝されるので、外側保護コーティングを有すること、または、処理ガスに耐えることができるステンレスのような金属で形成されることができる。カンチレバー組立体210はまた、アーム214に固定された上部アーム支持体226および下部アーム支持体220を含む。以下に、アーム214、上部アーム支持体226および下部アーム支持体220をまとめてアーム部と呼ぶことにする。上部アーム支持体226および下部アーム支持体220は壁204の外側に配置されているので、これらの部品は、ここではアーム部の外側部分と呼ばれる。下部アーム支持体220は、供給ライン経路222を形成する円筒管部221を含む。設備部品224のための設備供給ライン、例えば、冷媒管、空気ライン、センサー入出力ラインは、下部アーム支持体220の円筒管部221の内側空間から下部電極組立体212の底部表面まで延びる供給ライン経路222を通る。供給ライン経路222は、カンチレバー組立体210の内側に空洞を形成し大気に開放されている。下部アーム支持体220は、導電性金属で形成されることができる。
上部アーム支持体226は、一般的に円筒管部227と、上部プレート229とを含む。上部プレート229の一端は、駆動機構228に固定されている。上部プレート229はまた、高周波電源もしくはマッチング部216を支持している。上部アーム支持体226の円筒管部227とアーム214は、L型高周波管組立体218を収容するための空間を提供する。カンチレバー組立体210の部品、即ち、下部電極組立体212、アーム214、高周波管組立体218、下部アーム支持体220、上部アーム支持体226、外側導電リング241、および絶縁体238は、間隙207が調整されるように、駆動機構228によって一つの一体化されたものとして上下に移動される。駆動機構228の詳細は以下に図3と関連して示される。
壁204の底部は、チャンバからガスを排出するために真空ポンプ239に結合される。チャンバ200は、カンチレバー組立体210のための真空隔離を提供するために少なくとも1つの真空隔離部材を含む。図示された実施形態において、真空隔離部材は、2つのベローズ230a、230bを含む。下部アーム支持体220および上部アーム支持体226の各外側表面、および、アーム214の外側表面は、真空ポンプ239によって発生される低い圧力を受ける。基板をカンチレバー組立体210の一部分と考えると、カンチレバー組立体210の外側表面のほとんどは、動作中に低い圧力の中に配置された構成が実現されうる。これにより、カンチレバー組立体210上の大気負荷、即ち、カンチレバー組立体210の外側表面の総ガス圧力はわずかである。即ち、大気負荷が中立化された。この実施形態では大気負荷が中立化されるので、カンチレバー組立体210は、低減された負荷を駆動機構228に伝える。
間隙207に注入された処理ガスは、基板を処理するためのプラズマをつくるためにエネルギーを与えられ、閉じ込めリング組立体246を通り、真空ポンプ239によって排気されるまで、下部アーム支持体220、上部アーム支持体226およびアーム214の各外側表面を囲むスペースにとどまる。動作中において上部アーム支持体220および下部アーム支持体226は、反応性の処理ガスに曝されるので、それらは処理ガスから耐えることができるステンレスのような材料で形成されるか、保護コーティングを持つ。同様に、ベローズ230a、230bは、ステンレスのような化学品に耐えることができる材料で形成される。ベローズ230a、230bの直径はデザインの要求に依存して変わるかもしれず、たとえば、約1.6cmから約3.6cmであることが可能である。
カンチレバー組立体210は、上部電極組立体202と下部電極組立体212の上に搭載された基板との間隙207を調整するために上昇されもしくは下降される。間隙207を減少させるために、カンチレバー組立体210は上部ベローズ230aを圧縮し、下部ベローズ230bを伸張して上昇される。同様に、間隙207を増加させるために、カンチレバー組立体210は、上部ベローズ230aが伸張し、下部ベローズ230bが圧縮して下降される。
この実施形態では、真空圧力でのチャンバ200の領域の体積は、カンチレバー組立体210の垂直方向の移動の間は、実質的に変化しない。そして、その体積は、もっぱら壁204の内側表面、カンチレバー組立体210の外側表面、ベローズ230a、230bによって定義される体積の内である。カンチレバー組立体210が上方へ移動したときはベローズ230aは広がり、ベローズ230bは縮まり、それによって、真空領域内でおおむね同じ体積を維持するので、体積は実質的に一定のまま維持されることができる。図2に示されているように、ベローズ230a、230bはそれらの垂直方向の軸がわずかにずれているが、カンチレバー組立体210の縦方向の位置の変化に対してチャンバ200内の体積を一定に維持することによって2つのベローズは大気負荷をつり合わせている。このようにして、大気圧力は、チャンバ200の上においてカンチレバー組立体の上部で等しく働き、大気圧力は、供給ライン経路222の内側で働く。間隙の調整の間における体積の変化は非常に小さいので、チャンバの圧力とプラズマの圧力の微小な変動が低減される。大気負荷は、チャンバ200内で変動せず、処理条件の起こりうる変化を引き起こさない。
上述した様に、設備供給ラインは、供給ライン経路222を通る。供給ライン経路222は、下部アーム支持体220の円筒管部221からアーム214を通って、下部電極組立体212の下に設置された設備部品224まで延びている。供給ライン経路222は、大気に開放されている。しかし、経路222はカンチレバー組立体210内に空洞を形成するので、該空洞の表面に作用する大気圧力の合計は大気負荷を生じない。
高周波電源216は、動作中に高周波電力を下部電極組立体212に供給する。高周波電源216は、高周波エネルギーをL型高周波管組立体218を通して送る。高周波管組立体218の上部セクション218aは上部アーム支持体226の円柱部227の内側に設置される一方で、下部セクション218bはアーム214の内側に設置される。上部セクション218aの底部は、高周波の伝送のための空洞を形成するために下部セクション218bの開放端に結合される。高周波管組立体218は、十分な導電性を持った金属で形成される。下部セクション218bの閉塞端の近くに設置された高周波導体240は、高周波管群218を通って伝送されてきた高周波エネルギーを集めて、その集められたエネルギーを下部電極組立体212に送る。
高周波電源216と高周波導体240との間の高周波マッチングのレベルは、高周波管組立体218の寸法に依存する。高周波管組立体218の上部、下部セクション218a、218bの長さと直径は、管組立体218を通って運ばれる高周波電力が広い高周波周波数範囲で最適化されるように、最適な値を有することが好ましい。図示された実施形態では、高周波管組立体218の上部セクション218aと下部セクション218bの両方が、間隙調整の間において、高周波電源216とともに動かされる。したがって、一旦、高周波管組立体218が最適な配置に設定されると、その配置は更なる調整をすることなしに維持されることができ、そして、それは高周波周波数の幅広い範囲にわたってチャンバ200の性能の向上をもたらす。
この実施形態では、カンチレバー組立体210の垂直方向の移動は(即ち、アーム214に設けられた基板支持表面に対して垂直)は、チャンバ200内において部品をスライドさせることなしに、もたらされうる。結果として、カンチレバー組立体210は、間隙の調整中におけるパーティクルの発生の可能性を低減する。たとえば、水平ーム214の一端における上端がチャンバの外側に位置しているので、水平アーム214と基板支持体は、チャンバ内の垂直方向の駆動機構を使用することなく、或いは、下部電極組立体の膨張に順応するために部品をスライドさせることなく、一つのユニットとして上昇され下降されることができる。同様に、下部電極への高周波電力の供給の制御に使用されるソフトウェアは水平アームおよび基板支持体とともに1つのユニットとして移動するので、下部電極と高周波電源との間の動きに順応する必要がないように、高周波供給線は、予め設定された長さを有する剛体の導電性金属で作られうる。しかし、ソフトウェアがプラズマチャンバの外側の固定された表面に配置されるときには、このような必要性が生じうる。
間隙207の中のガスは、下部電極組立体212に分配された高周波電力によってプラズマをつくるために電気的に励起される。下部電極組立体212からプラズマを通って上部電極組立体202まで流れる電流である帰還電流は、完全な電流のループを完成させるために高周波電源216まで戻る必要がある。チャンバ200において、いくつかの柔軟なコンタクトもしくはストリップ234が、アーム214に電気的に接続されている外側導電リング241と壁204との間の確かな電気的な接続を作るために用いられる。外側導電リング241は、導電性の金属から形成され、絶縁体238によって電気的に下部電極組立体212から分離されている。帰還電流は、上部電極組立体202から壁204、柔軟なコンタクト234、外側導電リング241、アーム214、高周波管組立体218の壁もしくはシールドを通って、高周波電源216まで流れ、これによってループを完全なものとする。ベローズ230a、230bは、帰還電流のための回路の一部を形成していない。導体部品236は、アーム214と高周波管組立体218の壁とを電気的に接続するために用いられ、他の帰還電流のための経路を提供する。
外側導電リング241は、間隙の制御もしくは基板の搬出入の間に壁204に対して相対的に動くので、コンタクト234は、相対的な動きに順応するために十分に柔軟である。柔軟なコンタクト234は、ベリリウム銅(BeCu)のような金属合金から形成されることが好ましい。任意に、コンタクト234は、反応性ガスからそれらを守るためにプラズマ耐性コーティングを持つことができる。柔軟なコンタクト234は、壁204と導電リング241との間の相対的な動きのために延ばされたり、縮められたりする。コンタクト234は、ストレスを除去するために曲がった形をしているかもしれない。
上述のように、処理ガスは、間隙207の間でプラズマをつくるために励起される。間隙207においていったんプラズマが生成されると、閉じ込めリング組立体246は、異なる圧力やガス流量条件でプラズマを閉じ込めることが可能である。この実施形態では、閉じ込めリング群246は、カムリング/プランジャー組立体250によって駆動される。カムリング/プランジャー組立体250は、カムリング242と、カムリング242を回転させるためのモーター244と、カムリング242と閉じ込めリング組立体246に結合されているピストン組立体とを含む。閉じ込めリング組立体246とカムリング/プランジャー組立体250のさらなる詳細は、以下で図4と5に関連して述べられる。
一般に、基板上の微細エレクトロニクス層のパターニングは、いくつかのエッチング/堆積工程を含む。いくつかの工程の間、上部電極組立体および下部電極組立体の表面に連続した副産物層が堆積する。副産物層と組立体表面との結合は結局は弱いので、副産物層は基板を汚染するように当該表面からはがれ、或いは、薄片を生じさせるかもしれない。チャンバ200において、カンチレバー組立体210は、上部電極組立体212と下部電極群202との間隙207を調整するために垂直方向に動かされるが、上部電極群202は固定されたままである。そういうものとして、薄片のほとんどは工程間、もしくは、基板の搬出入間においてカンチレバー組立体から落ちるかもしれない。基板はカンチレバーの上部に配置されている。即ち、基板は汚染領域より上に位置している。よって、副産物汚染は著しく低減され、生産の収率が向上しうる。
図3は、図2に示された領域Aの拡大模式図であり、カンチレバー群210を移動させるための駆動機構228(図2)を説明している。図示のように、上部アーム支持体226の端部は、リードスクリューもしくはボールスクリュー306の先端に回転可能に固定されている。支持ブラケット302に固定されたモーター304は、ベルト308もしくは別の適当な運動伝送機構を通してリードスクリュー306を駆動する。スクリュー306の底部は、回転可能にブラケット302に固定されている。ガイド310は、リードスクリュー306と噛み合うメスのネジ穴を有する。ガイド310は壁300に固定されるので、リードスクリュー306の回転運動は、上部アーム支持体226、支持バスケット302、モーター304の垂直方向運動を発生させる。モーター304のタイプとスクリュー306に形成されているネジ山のピッチは、間隙207の調整の精度に影響を与え、十分の数ミクロンであることが好ましい。モーター304は、モーター制御システム312によって制御される。モーター制御システム312は、間隙207の制御がフィードバック制御モードで行われるように、間隙207のサイズを測るセンサーと結合されうる。レーザーセンサー、インダクタンスセンサー、静電容量センサー、音響センサー、差動変圧器(LDVT;linear variable differential transformer)のような様々なタイプの”その場”検出器を間隙センサーとして使うことができ、センサーのタイプに依存するが、壁204の外側か内側に設置することができる。
図4は、図2に示されているカムリング242とモーター244の模式的な上面図である。図示のように、モーター244は、ベルト404を通してカムリング242と結合されている。ベルト404は、カムリング242に対して点406および408において取り付けられている。他の実施形態では、ベルト404は、カムリング242に巻き付けられうる。張力機構410は、カムリング242の内側表面がローラー412および414に回転接触するようにベルト404のたるんだ部分を引っ張り、かつ、カムリング242をモーター244の方向に引っ張る。3つのプランジャー組立体250は、カムリング242に結合される。プランジャー組立体250は、図5と関連して以下で説明される閉じ込めリング組立体246を駆動する。モーター244は、モーター制御部420によって制御される。
チャンバ200は、チャンバ壁204とカンチレバー組立体210との間の空間270のほか、間隙207における圧力を計測するために1または複数の圧力センサーを含むことができる。圧力センサーからの信号はモーター制御部420に送られる。モーター制御部420は、圧力センサーからの信号がモーター制御部420に送られるように圧力センサーに接続されている。チャンバの圧力が部分的に閉じ込めリング組立体246によって制御されるように、モーター制御部240および圧力センサーはフィードバック制御モードで動作することができる。
追加のローラーがカムリング242の回転の中心を定義するために使われうる。3つのプランジャー組立体250がカムリング242の周りに配置されているように示されている。しかし、他の実施形態では、異なる数のプランジャー組立体を含むことができる。
図5は図2の領域Bの拡大模式図である。図示のように、プランジャー組立体250は、カムリング242と回転接触するように示されたホイール502と、裏板506とを含む。ホイール502は、ボルトとネジ穴の配置のような適当な機構を通して裏板506に調整可能に取り付けられている。裏板506は、チャンバ上部206(図2)の上に取り付けられていて、チャンバ上部206に対して基本的には動かせない。
組立体250はまた、プランジャー504と、プランジャー504の上取り付けられたカムフォロワー508とを含んでいる。プランジャー504およびカムフォロワー508は、カムリング242の下部表面512に向かってバネ510によって押し付けられている。カムフォロワー508は、プランジャー504が下部表面512の輪郭とともに上昇もしくは下降するのを可能にするために下部表面512と接触し続ける。プランジャー504は、方向540において上下に動く。方向540は、ワップ(WAP)リング532および閉じ込めリング534によって定義された面に対して直交している。
プランジャー504がカムリング242の下部表面512の輪郭にならって上下に動くとき、上部電極組立体202に形成された溝に取り付けられた1対のシール507は、チャンバ内の低い圧力が維持されることを可能にする。2つのシール507が示されているが、他の適当な数のシールは要求に応じて備えられうる。
プランジャー504の縦方向の動作は、カムリング242の下部表面512の輪郭によって制御される。図5に示されているように、下部表面512はカム領域522を含む。個々のプランジャー組立体250に対して1つのカム領域が設けられることが好ましい。カム領域522は、傾斜面526を含むことが好ましく、これは、カムリング242が矢印518の方向に回転するとき、プランジャー504を下方向へ移動させる。或いは、傾斜面528は、プランジャー504を制御することに対して利用されない。そのかわりに、カムリング242は後ろと前に回転されてカムフォロワー508が傾斜面526の輪郭にならうときは、プランジャー504は傾斜面526のみを利用することによって上下方向に動かされる。
傾斜面526は、2つの異なった傾斜を有する2つの区分された領域を有することができる。図示のように、第1斜面530は、カムリング242の回転度合い対してプランジャー504が上下方向により大きな距離を移動するように、第2斜面524よりも急である。斜面524がプランジャー504の精密制御に対して用いられる一方で、斜面530は粗制御に使われるかもしれない。或いは、傾斜面526は、一つの連続した斜面を有するかもしれない。
プランジャー504は、閉じ込めリング組立体246に結合されている。より具体的には、各ピストン504の下端がワップリング532および複数の制限リング534a、534b、534cに結合されている(まとめて閉じ込めリング534と呼ぶ)。プランジャー504は、矢印540の方向に動き、それによって、リング532および534の位置、ならびに、リング532と534との間隙536a、536b、536c、536d(まとめて536と呼ぶ)を制御する。処理ガスが上部電極組立体202を通って間隙207に導入される。上部電極組立体202は、処理ガスが領域207にシャワーヘッド効果をもって流れるように、1または複数のバッフルを含みうる。間隙207の中では、処理ガスは下部電極組立体212の上部支持体表面の上に搭載された基板を処理するためのプラズマをつくるために励起される。
基板の中心軸と同軸である間隙207は、閉じ込めリング組立体246を含む領域の存在によって壁204から離隔される。ワップリング532は、プランジャー504の末端に結合され、リング534は、柱538によってワップリング532からつるされている。リング532、534はルーバー構造を有し、リング間の間隙536は間隙207の広い範囲にわたってプラズマを閉じ込めるように制御される。プランジャー504が上方に動くと、リング532、534は相互に離隔される。プランジャー504が下方に動くか、カンチレバー組立体210が上方に動くと、底部リング534aは外側導電リング242の肩に接触する。カンチレバー組立体210がさらに上方に動くと、間隙536b−536dは、連続的に0まで減る。或いは、2つの隣り合ったリング534間の最小の間隔7を制限するために各間隙536にスペーサが挿入されてもよい。閉じ込めリング組立体のさらなる詳細は、本件と同一人に帰属する米国特許第6,019,060号に開示されており、この開示の全体が参照によって本件明細書に組み込まれる。リング532、534は、約2000Ω・cmの高い導電性を有し間隙207内のプラズマの厳しい動作環境に耐えることができる炭化シリコンのような高い導電性を有する材料で形成されることが好ましい。リング532、534は、アルミニウムやグラファイトのような他の適当な導電材料で形成されうる。柱538は、金属で形成されうる。
閉じ込めリング組立体246は、間隙207内の中性ガスの構成成分が概ね水平方向に間隙536を通って通過することを許しながら、上部電極組立体202、下部電極組立体212およびリング532、534によって囲まれる空間にプラズマを閉じ込めることを助ける。その後、中性ガスの構成成分は、壁204の内側表面、カンチレバー組立体210の外側表面およびベローズ230によって囲まれている空間550内に流れ込む。空間550内の圧力は、壁204の底部に取り付けられた真空ポンプ239によって制御される。閉じ込めリング組立体246は、間隙或いはプラズマ励起領域207を空間550から分離する。一般に、間隙領域207の体積は空間550の体積と比較して小さい。基板のエッチング速度は、間隙207内のプラズマによる影響を直接に受けるので、組立体246は、チャンバのハードウエアの主要な物理的変更なしに、小さい体積のプラズマ制御と、間隙207の全体領域にわたるプラズマ閉じ込めを可能にする。さらに、間隙207の体積が小さいときは、プラズマの状態は、速やかに且つ正確に制御される。
上部電極組立体202および下部電極組立体212の繰り返しの使用において、プラズマに面する電極の表面はプラズマによって徐々に侵食される。間隙207は、処理の繰り返し性が維持され、そして、それゆえに電極の寿命が延び、消耗品の費用が低下されるように、電極の耐久力を補正するために調整されることができる。
本発明は、特定の実施形態を参照しながら詳細に説明されたが、特許請求の範囲から逸脱しない範囲で様々な変更や調整が可能であり、均等なものを採用可能であることは当業者にとって自明である。

Claims (24)

  1. プラズマ処理装置であって、
    内部領域を囲み且つ開口部を有する壁を含むチャンバと、
    前記壁の前記開口部を通って伸びていて前記内部領域の外側に配置された外側部分を有するアーム部、および、前記アーム部の上且つ前記内側領域の中にある基板支持体を含むカンチレバー組立体と、
    前記アーム部の前記外側部分に結合され、前記壁に対して相対的に前記カンチレバー組立体を動かすように動作する駆動機構と、
    前記アーム部の前記外側部分と前記壁とに部分的に囲まれた空間を封鎖し、前記内部領域と流体連結された少なくとも1つの真空隔離部材とを備え、前記真空隔離部材は、前記カンチレバー組立体上の大気負荷が中立化されるように前記空間を真空隔離する、
    ことを特徴とするプラズマ処理装置。
  2. 前記基板支持体は、基板を支持する上面を有する下部電極組立体を含み、
    前記プラズマ処理チャンバは、前記基板支持体の前記上面と対向し、前記上面との間に間隙を形成するように前記上面から隔離された底部表面を有する上部電極組立体を更に備え、
    前記下部電極組立体は、高周波電源に結合される、
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  3. 前記下部電極組立体は、プラズマ処理中に基板を所定位置に保持するためのチャックを含む、ことを特徴とする請求項2に記載のプラズマ処理装置。
  4. 前記アーム部は内部の空洞を含み、
    前記プラズマチャンバは、
    前記空洞の中に設置され、高周波電源に結合された一端を有し、前記高周波電源からそれを通って高周波電力を伝送するように働く高周波管と、
    前記高周波管の他端に結合され、前記高周波電力を集め前記基板支持体に前記高周波電力を送る高周波導体とを含む、
    ことを特徴とする請求項に1記載のプラズマ処理装置。
  5. 前記高周波電源は、前記高周波電源が前記カンチレバー組立体とともに前記駆動機構によって動かされるように前記アーム部の前記外側部分に搭載されている、
    ことを特徴とする請求項4に記載のプラズマ処理装置。
  6. 前記アーム部は、前記高周波管に電気的に結合され、
    前記カンチレバー組立体は、前記アーム部に搭載され電気的に前記側壁と結合された導電リングと、前記基板支持体から前記アーム部を電気的に絶縁する絶縁体とを更に含む、
    ことを特徴とする請求項4に記載のプラズマ処理装置。
  7. 前記側壁および前記導電リングに接続された少なくとも1つの柔軟な導体を更に備えることを特徴とする請求項6に記載のプラズマ処理装置。
  8. 前記柔軟な導体がBeCuで構成されていることを特徴とする請求項7に記載のプラズマ処理装置。
  9. 前記アーム部は、端部が開放された内部の空洞を含み、
    前記プラズマ処理装置は、前記空洞を通って前記基板支持体と結合される少なくとも1つの設備ラインを更に備える、
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  10. 前記真空隔離部材は、前記アーム部前記外側部分および前記壁に接続された2つのベローズを含み、
    前記カンチレバー組立体が前記駆動機構によって動かされたときに、一方のベローズが伸ばされ、他方のベローズが縮められる、
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  11. 前記ベローズが金属で構成されることを特徴とする請求項10に記載のプラズマ処理装置。
  12. 前記駆動機構は、
    前記アーム部に回転可能に固定され、回転したときに前記アーム部を動かすように働くボールスクリューと、
    前記ボールスクリューを回転させるモーターとを含む、
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  13. 前記上部電極組立体は、前記間隙に処理ガスを供給するための少なくとも一つのバッフルを含み、
    前記高周波電源は、プラズマをつくるために処理ガスを励起するように前記下部電極組立体に前記高周波電力を供給するように動作することを特徴とする請求項2に記載のプラズマ処理装置。
  14. 前記間隙を取り囲み、前記間隙内にプラズマを閉じ込めるように構成された少なくとも1つの閉じ込めリングを含む閉じ込めリング組立体を更に備えることを特徴とする請求項2に記載のプラズマ処理装置。
  15. 前記上面と平行な面に配置され、その第1表面に形成された複数のカム領域を含むカムリングと、
    前記カムリングの第1表面に回転接触する複数のカムフォロワーと、
    前記上面と実質的に垂直な方向に向けられた複数のプランジャーとを更に備え、各プランジャーは、一端が前記複数のカムフォロワーの1つと結合し、他端が前記閉じ込めリング組立体と結合され、
    前記カムリングが回転されたとき、前記内部領域におけるプラズマ圧力を制御するために、前記プランジャーが前記上面と実質的に垂直な方向に動き、前記閉じ込めリング間の間隔を変化させる、
    ことを特徴とする請求項14に記載のプラズマ処理装置。
  16. 前記カムリングに結合され、前記カムリングを回転させるように動作するモーターを更に備えることを特徴とする請求項15に記載のプラズマ処理装置。
  17. 前記カム領域の各々が少なくとも1つの傾斜面を含むことを特徴とする請求項15に記載のプラズマ処理装置。
  18. 半導体基板を処理する方法であって、
    請求項2に記載のプラズマ処理装置における前記基板支持体上に半導体基板を支持することと、
    前記上部電極組立体と前記下部電極組立体との間の空間にプラズマを発生させることと、
    前記駆動装置によって前記カンチレバー組立体を動かすことによって前記間隙を調整することとを含み、
    前記調整の間において、前記カンチレバー組立体の上の大気負荷が中立化されている、
    ことを特徴とする方法。
  19. 基板を処理するためのプラズマチャンバであって、
    内部領域を囲み且つ開口部を有する壁と、
    前記開口部を通って伸びていて、前記内部領域内にある第1端にある基板支持体表面および前記内部領域外にある第2端を含むカンチレバー組立体と、
    前記第2端に結合され前記基板支持体表面に垂直にカンチレバー群を動かすように動作する駆動機構と、
    を備えることを特徴とするプラズマチャンバ。
  20. 前記カンチレバー組立体と前記内部領域の外側の前記壁とに接続された2つのベローズを更に備え、
    前記ベローズは、前記カンチレバー組立体および前記壁によって部分的に囲まれた空間を封鎖し、前記内部領域と流体連結され、前記ベローズは、前記カンチレバー組立体上の大気負荷が中立化されるように前記空間を真空隔離する、
    ことを特徴とする請求項19に記載のプラズマチャンバ。
  21. 前記開口部は側壁にあり、
    前記カンチレバー組立体は、前記開口部から前記内部領域に水平方向に伸びるアーム部を含む、
    ことを特徴とする請求項19に記載のプラズマチャンバ。
  22. 基板支持体は下部電極組立体を含み、
    前記プラズマチャンバは、
    前記基板支持体表面と対向し、前記表面から間隙を持って離隔した底面を有する上部電極組立体と、
    前記間隙を囲んでいて前記間隙内のプラズマを閉じ込めるように構成された少なくとも1つの閉じ込めリングを含む閉じ込めリング組立体を更に備える、
    ことを特徴とする請求項19に記載のプラズマチャンバ。
  23. 上部電極組立体、内部領域を囲み且つ開口部を有する壁とを備える容量結合型プラズマチャンバにおける電極間間隙を調整するための装置であって、
    カンチレバー組立体と、
    駆動機構とを備え、
    前記カンチレバー組立体は、下部電極と、第1端にある基板支持体表面と、第2端とを含み、前記第1端が前記内部領域にあり、前記第2端が前記内部領域の外側にあるように前記開口部を通って伸びるように構成され、
    前記駆動機構は、前記第2端に結合され前記基板支持体表面と垂直であり且つ互いに反対の方向に前記カンチレバー組立体を動かすように動作する、
    ことを特徴とする装置。
  24. 前記カンチレバー組立体と前記内部領域の外側の前記壁とに接続されるように構成された2つのベローズを更に備え、
    前記ベローズは、前記カンチレバー組立体および前記壁によって部分的に囲まれた空間を封鎖し、前記内部領域と流体連結され、前記ベローズは、前記カンチレバー組立体上の大気負荷が中立化されるように前記空間を真空隔離する、
    ことを特徴とする請求項23に記載の装置。
JP2012200841A 2007-01-17 2012-09-12 容量結合型高周波プラズマ反応器における電極間隙を調整する装置 Pending JP2013038433A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/653,869 US7732728B2 (en) 2007-01-17 2007-01-17 Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US11/653,869 2007-01-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009546392A Division JP5090468B2 (ja) 2007-01-17 2008-01-02 容量結合型高周波プラズマ反応器における電極間隙を調整する装置

Publications (1)

Publication Number Publication Date
JP2013038433A true JP2013038433A (ja) 2013-02-21

Family

ID=39618121

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009546392A Active JP5090468B2 (ja) 2007-01-17 2008-01-02 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
JP2012200841A Pending JP2013038433A (ja) 2007-01-17 2012-09-12 容量結合型高周波プラズマ反応器における電極間隙を調整する装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009546392A Active JP5090468B2 (ja) 2007-01-17 2008-01-02 容量結合型高周波プラズマ反応器における電極間隙を調整する装置

Country Status (6)

Country Link
US (2) US7732728B2 (ja)
JP (2) JP5090468B2 (ja)
KR (1) KR101492281B1 (ja)
CN (1) CN101584026B (ja)
TW (1) TWI460769B (ja)
WO (1) WO2008088668A1 (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
FR2921538B1 (fr) * 2007-09-20 2009-11-13 Air Liquide Dispositifs generateurs de plasma micro-ondes et torches a plasma
US7777500B2 (en) * 2007-10-05 2010-08-17 Lam Research Corporation Methods for characterizing dielectric properties of parts
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101659095B1 (ko) * 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
KR101577474B1 (ko) * 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
TWI500097B (zh) * 2009-02-23 2015-09-11 Hanmi Semiconductor Co Ltd 處理半導體封裝體之系統
KR102240849B1 (ko) * 2009-08-31 2021-04-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8992722B2 (en) * 2009-09-01 2015-03-31 Lam Research Corporation Direct drive arrangement to control confinement rings positioning and methods thereof
US8414736B2 (en) * 2009-09-03 2013-04-09 Applied Materials, Inc. Plasma reactor with tiltable overhead RF inductive source
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US8652297B2 (en) * 2010-08-03 2014-02-18 Applied Materials, Inc. Symmetric VHF plasma power coupler with active uniformity steering
US9076826B2 (en) * 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) * 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
WO2013078420A2 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
CN104103549B (zh) * 2013-04-07 2018-05-18 盛美半导体设备(上海)有限公司 半导体工艺腔室
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR20150052996A (ko) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 기판 이송 장치 및 이를 포함하는 박막 증착 장치
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
KR20160118205A (ko) * 2014-02-06 2016-10-11 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN105742203B (zh) * 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
CN110610841B (zh) * 2018-06-14 2022-01-28 中微半导体设备(上海)股份有限公司 一种等离子体约束组件及其所在的处理装置
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US10741407B2 (en) 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备
CN113808897B (zh) * 2020-06-12 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
CN112349576B (zh) * 2020-10-20 2022-09-16 北京北方华创微电子装备有限公司 下电极组件及半导体工艺设备
US20220359164A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Cantilever with etch chamber flow design
JP2023043679A (ja) * 2021-09-16 2023-03-29 株式会社Screenホールディングス 基板処理装置および基板処理方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US601960A (en) * 1898-04-05 hartmann
JPH0927396A (ja) * 1995-07-10 1997-01-28 Lam Res Corp プラズマ閉じ込めを使用するプラズマエッチング装置
JPH09503349A (ja) * 1994-05-03 1997-03-31 アプライド マテリアルズ インコーポレイテッド 溶着されたサセプタ組立体
JP2003188094A (ja) * 2001-10-19 2003-07-04 Asml Us Inc リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
JP2006060212A (ja) * 2004-08-16 2006-03-02 Applied Materials Inc 基板を開放する方法及び装置
JP2006253448A (ja) * 2005-03-11 2006-09-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2010517266A (ja) * 2007-01-17 2010-05-20 ラム リサーチ コーポレーション 容量結合型高周波プラズマ反応器における電極間隙を調整する装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW323387B (ja) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
JP4307628B2 (ja) * 1999-05-19 2009-08-05 キヤノンアネルバ株式会社 Ccp反応容器の平板型ガス導入装置
JP2001077088A (ja) 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
JP3531612B2 (ja) 2000-01-26 2004-05-31 松下電器産業株式会社 ワークのプラズマ処理方法
JP2001214277A (ja) * 2000-01-31 2001-08-07 Canon Inc 堆積膜形成装置および堆積膜形成方法
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
CN1190544C (zh) * 2002-12-12 2005-02-23 东华大学 一种用于纤维表面改性的常压低温等离子体处理装置
US7059268B2 (en) * 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
US6830642B2 (en) 2003-03-17 2004-12-14 Charles E. Greenhill Method and apparatus for applying pressure sensitive adhesive labels to a series of objects moving in multiple columns
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4554902B2 (ja) 2003-09-02 2010-09-29 株式会社日立製作所 サービス提供システム
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US601960A (en) * 1898-04-05 hartmann
JPH09503349A (ja) * 1994-05-03 1997-03-31 アプライド マテリアルズ インコーポレイテッド 溶着されたサセプタ組立体
JPH0927396A (ja) * 1995-07-10 1997-01-28 Lam Res Corp プラズマ閉じ込めを使用するプラズマエッチング装置
JP2003188094A (ja) * 2001-10-19 2003-07-04 Asml Us Inc リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
JP2006060212A (ja) * 2004-08-16 2006-03-02 Applied Materials Inc 基板を開放する方法及び装置
JP2006253448A (ja) * 2005-03-11 2006-09-21 Hitachi Kokusai Electric Inc 基板処理装置
JP2010517266A (ja) * 2007-01-17 2010-05-20 ラム リサーチ コーポレーション 容量結合型高周波プラズマ反応器における電極間隙を調整する装置

Also Published As

Publication number Publication date
WO2008088668A1 (en) 2008-07-24
US7732728B2 (en) 2010-06-08
US8080760B2 (en) 2011-12-20
KR101492281B1 (ko) 2015-02-11
US20100124822A1 (en) 2010-05-20
JP2010517266A (ja) 2010-05-20
CN101584026A (zh) 2009-11-18
JP5090468B2 (ja) 2012-12-05
US20080171444A1 (en) 2008-07-17
TW200845138A (en) 2008-11-16
TWI460769B (zh) 2014-11-11
CN101584026B (zh) 2012-11-14
KR20090106612A (ko) 2009-10-09

Similar Documents

Publication Publication Date Title
JP5090468B2 (ja) 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
KR102009595B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
KR101423358B1 (ko) 진공 척이 있는 베벨 에칭 장치
US6779481B2 (en) Electrical coupling between chamber parts in electronic device processing equipment
JP5214743B2 (ja) プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
US8951385B2 (en) Plasma processing apparatus and plasma processing method
TWI576889B (zh) 電漿處理裝置
JP6424049B2 (ja) プラズマ処理装置
KR101672856B1 (ko) 플라즈마 처리 장치
JP2010517266A5 (ja)
JP2009123934A (ja) プラズマ処理装置
KR101743304B1 (ko) 고압력 베벨 에칭 프로세스
US20230092887A1 (en) Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
KR101892958B1 (ko) 플라즈마 처리 장치
WO2000059018A1 (fr) Systeme de traitement au plasma
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
KR20240016702A (ko) 가변 커패시턴스 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
JPH0878346A (ja) プラズマ成膜装置
CN113496865A (zh) 基板处理装置
JPWO2020017015A1 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140613

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20140715

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140724

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140910

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150303