JP5214743B2 - プラズマ処理チャンバのパーツのための保護被覆およびその使用方法 - Google Patents

プラズマ処理チャンバのパーツのための保護被覆およびその使用方法 Download PDF

Info

Publication number
JP5214743B2
JP5214743B2 JP2010545888A JP2010545888A JP5214743B2 JP 5214743 B2 JP5214743 B2 JP 5214743B2 JP 2010545888 A JP2010545888 A JP 2010545888A JP 2010545888 A JP2010545888 A JP 2010545888A JP 5214743 B2 JP5214743 B2 JP 5214743B2
Authority
JP
Japan
Prior art keywords
strap
plasma
chamber
substrate
radicals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010545888A
Other languages
English (en)
Other versions
JP2011511475A5 (ja
JP2011511475A (ja
Inventor
カドコダヤン・ボビー
マッチェスニー・ジョン
パペ・エリック
ディンドサ・ラジンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011511475A publication Critical patent/JP2011511475A/ja
Publication of JP2011511475A5 publication Critical patent/JP2011511475A5/ja
Application granted granted Critical
Publication of JP5214743B2 publication Critical patent/JP5214743B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

プラズマ処理装置は、エッチング、物理気相成長(PVD)、化学気相成長(CDV)、イオン注入、およびレジスト剥離を含む技術によって基板を処理するために使用される。プラズマ処理において使用されるプラズマ処理装置の一タイプは、上側電極および下側電極を内包した反応チャンバを含む。プロセスガスをプラズマ状態に励起し、反応チャンバ内において基板を処理するために、電極と電極との間に電場が確立される。
一実施形態では、被覆されたRF帰還ストラップは、表面を有する湾曲した金属片と、上記表面に接合された可撓性被覆とを含み、上記被覆は、ポリマまたはエラストマを含み、上記被覆は、プラズマによって生成されたラジカル(以下、プラズマ生成ラジカルと称する)の雰囲気内における耐浸食性を提供し、ラジカルから金属片を保護する。
第2の実施形態では、半導体基板を内部においてプラズマ処理するための真空チャンバと、真空チャンバ内において使用するためのプラズマ処理アセンブリとを含むプラズマ処理装置が提供される。アセンブリは、エラストマ接合およびプラズマ生成ラジカルに対する耐浸食性を向上されたシリコーンベースのエラストマ材料によって第2の部材に接合された第1の部材を含み、シリコーンベースのエラストマ材料は、プラズマ生成ラジカルからエラストマ接合を保護するために、エラストマ接合を取り囲み第1の部材の合わせ面を第2の部材の合わせ面に密着させる。
第3の実施形態は、プラズマ処理装置内において半導体基板を処理する方法を提供する。基板は、プラズマ処理装置の反応チャンバ内において上側電極アセンブリの下で基板サポート上に配される。反応チャンバにプロセスガスが導入され、反応チャンバ内において上側電極アセンブリと基板との間においてプロセスガスからプラズマが生成される。プラズマ生成ラジカルに曝されたチャンバのパーツ間において被覆RF帰還ストラップによってRF電力が伝送される間に、基板は、プラズマによって処理される。
更に別の実施形態では、プラズマ処理装置内において半導体基板を処理する方法は、第2の実施形態のプラズマ処理装置の反応チャンバ内において基板サポート上に基板を配することを含む。反応チャンバにプロセスガスが導入され、反応チャンバ内において上側電極アセンブリと基板との間においてプロセスガスからプラズマが生成され、プラズマによって基板が処理される。シリコーンベースのエラストマ材料は、基板のプラズマ処理時にプラズマ生成ラジカルからエラストマ接合を保護する。
被覆部材の実施形態を含むギャップ調整可能な容量結合プラズマ処理チャンバの概略図を示している。
エラストマを被覆されたクーポンがフッ素に富むプラズマ内において浸食される速度の実験結果を示した棒グラフである。
エラストマを被覆されたクーポンの実施形態が酸素に富むプラズマ内において浸食される速度の実験結果を示した棒グラフである。
被覆部材の実施形態を示した写真である。
被覆部材の実施形態を図解している。
静電チャックデバイスの下面と下側電極の上面との間のエラストマ接合がシリコーンベースのエラストマ材料の実施形態によって取り囲まれる真空処理チャンバの一部分の断面図を示している。
温度制御式のホットエッジリング・アセンブリ内において上側ホットエッジリングの下面とセラミック中間リングの上面との間のエラストマ接合がシリコーンベースのエラストマ材料の実施形態によって取り囲まれる平行平板型プラズマ装置のウエハエッジ領域の断面図を示している。
集積回路の製作時にフラットパネル・ディスプレイおよび半導体ウエハなどの基板の表面上の微粒子汚染を制御することは、高信頼性のデバイスを実現するためおよび高い歩留まりを得るために不可欠である。プラズマ処理装置などの処理機器は、微粒子汚染の発生源となる可能性がある。例えば、ウエア表面上における粒子の存在は、フォトリソグラフィおよびエッチングのステップ時にパターン転写を局所的に乱す可能性がある。その結果、これらの粒子は、ゲート構造、金属間誘電体層、または金属相互接続線を含む重要な特徴内に欠陥を発生させ、集積回路コンポーネントの誤動作または故障をもたらす可能性がある。
例えばシリコン電極などの比較的短寿命のリアクタパーツは、通常、「消耗品」と称される。消耗パーツの寿命が短いと、所有のコストが高くつく。誘電体エッチングツールにおいて使用されるシリコン電極アセンブリは、多くのRF時間(プラズマを生成するために高周波電力が使用される期間を時間単位で表わしたもの)の経過後に劣化する。消耗品およびその他のパーツの浸食は、プラズマ処理チャンバ内において微粒子汚染を発生させる。浸食は、プロセスガスのプラズマによって生成されるフッ素ラジカルおよび/または酸素ラジカルなどの高密度のラジカルに曝されるゆえに、プラズマに直接曝されるパーツ上において、またはチャンバのプラズマ閉じ込め領域の外側のパーツ上において、発生する可能性がある。
図1は、プラズマ処理装置のギャップ調整可能な容量結合プラズマ(CCP)処理チャンバ200の典型的実施形態を示している。チャンバ200は、上側電極アセンブリ225の上側電極224の下面と、下側電極アセンブリ215上に支えられた基板214の上面との間において電極ギャップ232の正確な制御を可能にする。ギャップの高さは、複数ステップに及ぶウエハ処理時に、ウエハ処理条件を最適にするために1回または2回以上変更されてよい。
チャンバ200は、チャンバケース202と;チャンバケース202の天井228に取り付けられた上側電極アセンブリ225と;チャンバケース202の床205に取り付けられ、上側電極アセンブリ225の下面から隔てられ、かつ上側電極アセンブリ225の下面に実質的に平行な下側電極アセンブリ215と;上側電極アセンブリ225と下側電極アセンブリ215との間においてギャップ232を取り囲む閉じ込めリングアセンブリ206と;上側チャンバ壁204と;上側電極アセンブリ225の上部を密閉するチャンバトップ230と、を含む。上側電極アセンブリ225は、上側電極224と;上側電極224と下側電極アセンブリ215との間に画定されたギャップ232内にプロセスガスを分布させるためのガス通路を含む1つまたは2つ以上のバッフル226と、を含む。簡潔さを期するために、上側電極アセンブリ225は、3つのコンポーネントを有するものとして示されている。しかしながら、上側電極アセンブリ225は、さらなるコンポーネントを含むことができる。チャンバケース202は、基板214をチャンバ200に対して出し入れするためのゲート(不図示)を有する。例えば、基板214は、参照により本明細書に全体を組み込まれる同一出願人による米国特許第6,899,109号に記載されるように、ロードロックを通してチャンバに入ることができる。
一部の典型的実施形態では、上側電極アセンブリ225は、上側電極アセンブリ225と下側電極アセンブリ215との間においてギャップ232を調整するために、上下方向(図1の矢印A、A’)に調整可能である。上側アセンブリ・リフトアクチュエータ256が、上側電極アセンブリ225を上昇または下降させる。図解では、チャンバ天井228から垂直に伸びる環状延長229が、上側チャンバ壁204の円筒穴203に沿って可調整式に位置決めされる。上側電極アセンブリ225を上側チャンバ壁204および下側電極アセンブリ215に相対的に移動可能にしつつ229と203との間に真空封止を提供するために、封止構成(不図示)を使用することができる。上側可撓性被覆部材248は、上側電極アセンブリ225と上側チャンバ壁204とを電気的に結合する。上側可撓性被覆部材248は、伝導性で可撓性の金属ストラップ(図4の233)を含み、これは、金属ストラップ233の外面に接合された可撓性被覆(図4の235)で被覆されている。可撓性被覆235は、プロセスガスのプラズマによって生成される活性種(ラジカル)に金属ストラップを接触させないことによって、プラズマラジカルに起因する劣化から金属ストラップを保護する。
一実施形態では、可撓性被覆部材248のベース・コンポーネントは、ベリリウム銅(BeCu)からなるRFストラップである。しかしながら、可撓性で伝導性のその他の材料が用いられてもよい。一実施形態では、可撓性被覆235は、エラストマまたはポリマで構成される。好ましくは、可撓性被覆235は、Si、SiC、Alの粒子などの伝導性の充填粒子を含まない架橋シロキサン(シリコーンゴム)である。上側可撓性被覆部材248は、上側電極アセンブリ225をチャンバ200内において垂直に移動可能にするために、上側電極アセンブリ225と上側チャンバ壁204との間に伝導性の帰還路を提供する。ストラップは、湾曲部分によって接続された2つの平面端を含む。湾曲部分は、上側チャンバ壁204に相対的な上側電極アセンブリ225の動きに適応する。チャンバサイズなどの要素に応じて、電極アセンブリ225の周囲に円周方向に間隔を空けて複数の(2、4、6、8、または10の)RF帰還ストラップを配置することができる。
簡潔さを期するために、図1には、ガスソース234に接続された1本のガスライン236のみが示されている。上側電極アセンブリ225には、さらなるガスラインを結合することができ、ガスは、上側チャンバ壁204および/またはチャンバトップ230のその他の部分を通して供給することができる。
その他の典型的実施形態では、上側電極アセンブリ225が静止しているまたは移動可能である一方で、ギャップ232を調整するために下側電極アセンブリ215が上下(図1の矢印B、B’)に移動されてよい。図1は、下側電極アセンブリ215を支えている下側伝導部材264までチャンバケース202の床(底壁)205を通って達するシャフト260に接続された下側アセンブリ・リフトアクチュエータ258を示している。図1に示された実施形態にしたがうと、ベローズ262は、シャフト260が下側アセンブリ・リフトアクチュエータ258によって上昇および下降されるときに下側電極アセンブリ215を上側チャンバ壁204および上側電極アセンブリ225に相対的に移動可能にしつつ、シャフト260とチャンバケース202の床205との間に真空封止を提供するための、封止構成の一部を形成する。もし必要であれば、下側電極アセンブリ215は、その他の構成によって上昇および下降させることができる。例えば、参照により本明細書に全体を組み込まれる同一出願人による米国仮特許出願公開第2008/0171444号には、カンチレバーによって下側電極アセンブリ215を上昇および下降させるギャップ調整可能な容量結合プラズマ処理チャンバの別の実施形態が開示されている。
もし必要であれば、移動可能な下側電極アセンブリ215は、チャンバ壁ライナ252などの導電性のパーツに外側導体リング(地リング)222を電気的に結合する少なくとも1つの下側可撓性被覆部材246によって、チャンバの壁に接地することができる。下側可撓性被覆部材246は、上側可撓性被覆部材248に関連して上述されたように、伝導性で可撓性の金属ストラップと、その可撓性金属ストラップの表面に接合された可撓性被覆とを含む。可撓性被覆は、プロセスガスのプラズマによって生成される活性種(ラジカル)に金属ストラップを接触させないことによって、プラズマラジカルに起因する劣化から金属ストラップを保護する。下側可撓性被覆部材246は、たとえば、異なる高さにギャップを設定される複数ステップからなるプラズマ処理時などに、下側電極アセンブリ215をチャンバ200内において垂直に移動可能にしつつ、外側導体リング(地リング)222を上側チャンバ壁204に電気的に結合して、プラズマのための短いRF帰還路を提供する。好ましくは、金属ストラップは、ポリマを被覆されたBeCu片などの可撓性で伝導性のストラップである。
図1は、更に、プラズマ体積を基板214の近くに閉じ込めて、プラズマと相互作用する表面積を最小限に抑えるための、閉じ込めリングアセンブリ206の一実施形態を示している。一実施形態では、閉じ込めリングアセンブリ206は、垂直方向(矢印C−C’)に移動可能であるようにリフトアクチュエータ208に接続されており、これは、閉じ込めリングアセンブリ206が上側電極アセンブリ225、下側電極アセンブリ215、およびチャンバ200に対して手動または自動で上昇可能または下降可能であることを意味する。閉じ込めリングアセンブリに特に制約はなく、適切な閉じ込めリングアセンブリ206の詳細は、参照により本明細書に全体を組み込まれる同一出願人による米国特許第6,019,060号および米国特許出願公開第2006/0027328号に記載されている。
閉じ込めリングアセンブリ206は、閉じ込めリングアセンブリ206を上側チャンバ壁204などの導電性のパーツに電気的に結合する少なくとも1つの可撓性被覆部材250によって、チャンバの壁に接地することができる。図1は、水平延長254を介して支えられる伝導性のチャンバ壁ライナ252を示している。被覆された可撓性部材250は、閉じ込めリングアセンブリ206を上側チャンバ壁204に電気的に結合することによって短いRF帰還路を提供する複数の金属ストラップを含むことが好ましい。被覆されたRF帰還ストラップは、上側可撓性被覆部材248に関連して上述されたように、可撓性で伝導性の金属片と、可撓性の保護被覆とを含む。可撓性被覆部材250は、チャンバ200内において閉じ込めリングアセンブリ206が取る様々な垂直位置において、閉じ込めリングアセンブリ206と上側チャンバ壁204との間に伝導性経路を提供することができる。
図1に示された実施形態では、下側伝導部材264は、誘電体結合リング220を取り囲む外側導体リング(地リング)222に電気的に接続され、誘電体結合リング220は、外側導体リング222を下側電極アセンブリ215から電気的に絶縁する。下側電極アセンブリ215は、チャック212と、フォーカスリングアセンブリ216と、下側電極210とを含む。しかしながら、下側電極アセンブリ215は、下側電極アセンブリ215に取り付けられたまたは下側電極アセンブリ215の一部を構成する、基板を持ち上げるためのリフトピンメカニズム、光センサ、および下側電極アセンブリ215を冷却するための冷却メカニズムなどの、さらなるコンポーネントを含むことができる。チャック212は、動作時に、基板214を下側電極アセンブリ215の上面上の適所に把持する。チャック212は、静電チャック、真空チャック、または機械的チャックであってよい。
下側電極210は、通常、インピーダンス整合回路網238を通じて下側電極210に結合された1つまたは2つ以上のRF電源240からRF電極を供給される。RF電力は、例えば2MHz、27MHz、60MHzなどの1つまたは2つ以上の周波数で供給することができる。RF電力は、ギャップ232内においてプラズマを生成するためにプロセスガスを励起する。一部の実施形態では、上側電極224およびチャンバケース202は、電気的に接地される。その他の実施形態では、上側電極224は、チャンバケース202から絶縁され、インピーダンス整合回路網を通じてRF電源からRF電力を供給される。
上側チャンバ壁204の底は、チャンバ200からガスを排出するための真空ポンプユニット244に結合される。好ましくは、閉じ込めリングアセンブリ206は、ギャップ232内に形成される電場を実質的に終結させて、外側チャンバ体積268に電場が侵入しないように阻止する。
ギャップ232に注入されるプロセスガスは、真空ポンプユニット244によって排気されるまでに、基板214を処理するためのプラズマを生成するために活性化され、閉じ込めリングアセンブリ206を通り抜け、外側チャンバ体積268に入る。外側チャンバ体積268内のリアクタチャンバパーツは、動作時に反応性プロセスガス(ラジカル、活性種)に曝される可能性があるので、これらのパーツは、ステンレス鋼などの、プロセスガスに耐えられる材料で形成されることまたは保護被覆を有することが好ましい。同様に、ベローズ262は、ステンレス鋼などの、プロセスガスケミストリに耐えられる材料で形成されることが好ましい。
動作時にRF電源240が下側電極アセンブリ215にRF電力を供給する実施形態では、RF電源240は、シャフト260を通じてRFエネルギを下側電極210に送る。ギャップ232内のプロセスガスは、下側電極210に送られたRF電力によって、プラズマを生成するために電気的に励起される。
チャンバ200内において、可撓性被覆部材246/248/250は、チャンバ壁ライナ252または上側チャンバ壁204と、外側導体リング(地リング)222、閉じ込めリングアセンブリ206、および/または上側電極アセンブリ225との間に上述のような確かな電気的接続を提供するための、RF帰還ストラップであってよい。上側電極225と下側電極215との間のギャップ232は、ウエハ処理の際に調整されてよく、RF帰還ストラップは、このようなギャップ調整の際に屈曲を受ける。これらの被覆部材は、チャンバ200の上側チャンバ壁204を含むRF帰還路に取って代わる、プラズマのための更に短いRF帰還路を形成する。例えば、外側導体リング222は、伝導材料で形成され、誘電体結合リング220によって下側電極アセンブリ215から電気的に絶縁される。帰還路は、上側電極アセンブリ225、可撓性被覆部材248、上側チャンバ壁204、可撓性被覆部材246、外側導体リング222、シャフト260の壁または遮蔽体を通って整合回路網238に至る。ベローズ262は、帰還路の一部ではないことが好ましい。帰還路は、また、下側伝導部材264から床(底壁)205に至る1つもしくは2つ以上の可撓性被覆部材(図1には不図示)を通り抜ける、かつ/または床(底壁)205からシャフト260に至る1つもしくは2つ以上の可撓性被覆部材(図1には不図示)を通り抜けることもできる。
好ましくは、外側導体リング222は、3から12の可撓性被覆部材246によってチャンバ壁ライナ252に電気的に接続される。より好ましくは、ポリマを被覆された8つのRFストラップが、外側導体リング222をチャンバ壁ライナ252に電気的に接続する。
外側導体リング222は、ウエハの処理または基板の装填/取り出しを促進するために、ギャップ制御時に上側チャンバ壁204に相対的に移動するので、可撓性被覆部材246は、そのような相対運動に適応するのに十分に可撓性である。可撓性被覆部材246は、半導体グレードのベリリウム銅(BeCu)などの金属合金で形成されることが好ましい。好ましくは、可撓性被覆部材246上の被覆は、反応性のプロセスガスに対して耐性である。可撓性被覆部材246/248/250の湾曲部分は、それぞれ上側チャンバ壁204または壁ライナ252と導体リング222/上側電極アセンブリ225/閉じ込めリングアセンブリ206との間の相対運動ゆえに伸長または収縮される。可撓性被覆部材246/248/250は、ギャップ調整に適応するために、1つまたは2つ以上の湾曲部分を有してよい。
被覆されていない伝導性で可撓性の金属ストラップをチャンバケース202内においてプロセスガスおよび/またはプラズマ生成ラジカルに曝すと、曝された可撓性ストラップの金属の浸食によって汚染が発生する恐れがある。プラズマ生成ラジカルは、また、閉じ込めリングアセンブリ206を通って移動するラジカルによって、外側チャンバ体積268内のサポートおよびコンポーネントも浸食する恐れがある。ストラップの浸食に起因する粒子汚染および/または金属汚染に加えて、真空チャンバ内の被覆されていないストラップは、予定されるチャンバ・メインテナンスよりも早く交換が必要になるであろう。プラズマ生成ラジカルに曝される伝導性で可撓性のストラップなどの金属コンポーネントに対するポリマまたはエラストマの被覆は、コンポーネントの寿命を大幅に延ばし、プラズマチャンバ内における望ましくない粒子汚染および/または金属汚染を低減させる。
様々な被覆をテストするため、エラストマを被覆されたクーポンおよびポリマを被覆されたクーポンが、Lam Research Corporation(www.lamrc.com)によって供給されるLam Research 2300 Exelan(商標)Flexプラズマ処理システムのようなプラズマエッチングチャンバなどのプラズマチャンバ内において、プラズマによって生成されたフッ素ラジカルに曝された。これらの実験の結果は、図2に棒グラフとして示されるとともに、表1に提示されている。酸素ラジカルに対する耐性をテストするため、エラストマおよびポリマを被覆されたクーポンが、フッ素ラジカルに曝されたクーポンについて説明されたのと同様に、プラズマによって生成された酸素ラジカルに曝された。酸素ラジカル内におけるこれらの実験の結果は、図3に棒グラフとして示されるとともに、表1に提示されている。
テストクーポン上のエラストマ被覆およびポリマ被覆は、プロセスガスのプラズマによって生成された活性種(ラジカル)に接触したときに浸食された。図2は、フッ素(F)ラジカルを含有するプラズマに曝された後に測定された平均浸食速度をμm/1000時間で示している。サンプル1〜10の被覆は、フルオロエラストマで形成されている。これらのフルオロエラストマは、サンプル7(PTFE)の約27μm/1000時間からサンプル10(有機物を充填されたパーフルオロエラストマ)の約64μm/1000時間に至るFラジカル浸食速度を呈した。サンプル11は、2パーツのエポキシ樹脂であり、パーフルオロエラストマと比較すると、フッ素ラジカルケミストリに対して約16μmという大幅に向上された耐浸食性を呈した。驚くべきことに、シリコーンタイプの材料で形成されたサンプル12、13が、テストされた他のどのサンプルよりも、フッ素ラジカルに対して遥かに優れた耐浸食性を呈した。充填されていない2パーツのシリコーンサンプルは、約7μm/1000時間の平均浸食速度を呈し、充填されたシリコーンサンプルは、約9μm/1000時間の平均を呈した。
図3は、エラストマを被覆されたクーポンおよびポリマを被覆されたクーポンについて、酸素(O)ラジカルを含有するプラズマに曝された後に測定された平均浸食速度をμm/1000時間で示している。この環境では、フルオロエラストマは、サンプル5(Sifel 614(商標))の約1.2μm/1000時間からサンプル9(ケイ酸塩を充填されたパーフルオロエラストマ)の約16μm/1000時間に至る浸食速度を呈した。サンプル11は、2パーツのエポキシ樹脂であり、酸素ラジカルに曝されたときに、テストされた他のどのサンプルよりも大幅に多くの浸食を呈し、その浸食速度は約50μm/1000時間であった。驚くべきことに、充填されていない2パーツのシリコーン材料で形成されたサンプル12は、テストされた他のどのサンプルよりも、酸素ラジカルに対して遥かに優れた耐浸食性を呈した。充填されていない2パーツのシリコーンサンプルは、約1.1μm/1000時間の平均浸食速度を呈した。
表1および図2、3の結果を見ることによって示されるように、シリコーン被覆は、フッ素ラジカル環境および酸素ラジカル環境の両方において、テストされた他の材料と比較して大幅に優れた耐浸食性を呈した。フッ素に富むプラズマに曝されたRhodorosil V217(商標)シリコーンは、テストされた他の材料タイプと比べて浸食速度が極めて低く、その次に最も耐浸食性の材料タイプは、Rhodorosil V217(商標)の2倍近くの平均浸食速度を有した。図3に示されるように、シリコーンベースの材料は、酸素に富むプラズマ内においても極めて低い浸食速度を有した。テストされた材料タイプの多くは、一方の環境のみにおいて優れた振る舞いを見せたので、この結果もまた、驚きである。
可撓性被覆部材246/248/250は、チャンバのプラズマ閉じ込め領域の外側にあるのが一般的であるが、一部のプロセス条件下においては、プラズマ閉じ込め領域の外側に高密度のフッ素ラジカルおよび酸素ラジカルが存在する可能性がある。曝された可撓性被覆部材246/248/250のBeCuなどのベース金属は、処理チャンバの真空環境において、処理済みウエハの金属汚染を発生させる恐れがある。したがって、可撓性被覆部材246/248/250の寿命を著しく向上させるためには、可撓性被覆部材246/248/250上の被覆は、フッ素に富むラジカルケミストリおよび酸素に富むラジカルケミストリに曝されているときに屈曲に耐えられる弾性であることが好ましい。
図4は、下側可撓性被覆部材248の一実施形態を示した写真である。ラジカル環境に曝される伝導性で可撓性の金属ストラップ233の表面は、可撓性被覆235としてシリコーンエラストマ材料を被覆された。
可撓性コンポーネントを被覆するためには、可撓性金属ストラップ233は、被覆を施される前に洗浄されることが好ましい。例えば、BeCu可撓性金属ストラップは、サンドブラストによって粗面化され、施される被覆に対して適合性のプライマで下塗りされ、プライマの乾燥後に浸漬または吹き付けによってシリコーンエラストマ材料を被覆されてよい。しかしながら、表面は、必要に応じて、プライマを伴うことなくシリコーンエラストマ材料を直接被覆されてもよい。被覆は、任意の適切な技術によって硬化されることが好ましい。例えば、被覆は、シリコーン材料を硬化させるために加熱されてよいまたはその他の硬化方法に通されてよい。
一実施形態では、エラストマ材料またはポリマ材料は、可撓性で伝導性の金属コンポーネントに対し、金属コンポーネントの表面に接着するためおよび金属コンポーネントをプロセスガスのラジカルから保護するための可撓性被覆を提供する。好ましくは、被覆は、真空環境内におけるラジカルによる浸食に対して耐性で、かつ200℃を超えるなどの高温における劣化に対して耐性のin-situ硬化されたエラストマまたはポリマである。160℃を超えるプラズマ環境内において使用することができるポリマ材料として、ポリイミド、ポリケトン、ポリエーテルケトン、ポリエーテルスルホン、ポリエチレンテレフタレート、フルオロエチレンプロピレンコポリマ、セルロース、トリアセテート、シリコーン、およびゴムが挙げられる。
より好ましくは、被覆は、接着強さ、弾性係数、浸食速度、耐温度性などの適切な硬化前特性および硬化後特性を呈するin-situ室温加硫(RTV)された充填されていないシロキサンである。例えば、in-situ硬化可能なシリコーンは、プラチナ、過酸化物、または熱を使用した2パーツまたは1パーツの硬化樹脂であってよい。好ましくは、シリコーンエラストマ材料は、メチル基を伴うSi−O骨格を有する(シロキサン)。しかしながら、炭素−フッ素骨格も使用可能である。最も好ましくは、シリコーン材料は、ベース・コンポーネントの保護のためにin-situで硬化されて、充填されていない架橋シリコーンゴムを形成する。とりわけ好ましいエラストマは、例えばRhodiaよりRhodorsil V217(商標)として入手可能な、例えばPtなどの触媒を伴って硬化されたエラストマなどの、ポリジメチルシロキサン含有エラストマであり、これは、250℃またはそれを超える温度において安定性のエラストマである。
図5は、ギャップを調整可能な容量結合プラズマリアクタチャンバ200内において外側導体リング222を伝導性のチャンバ側壁ライナ252に電気的に接続する可撓性で伝導性の被覆部材246の一実施形態を示している。図5は、伝導性で可撓性の金属ストラップ233を非被覆エリアにおいて電気的に接続するように適応された、ステンレス鋼、銅、アルミニウム、または金をめっきされた金属ブロックなどの、導電性の接続部材270を示している(図4を参照せよ)。可撓性の被覆部材246は、厚さが0.002〜0.020インチ(0.0508〜0.508mm)、幅が0.25〜1インチ(0.635〜2.54cm)、長さが2〜10インチ(5.08〜25.4cm)であってよい。接続部材270に接続された可撓性金属ストラップ233は、可撓性のポリマまたはエラストマの被覆235によって、ラジカルから完全に保護される。1つの接続部材270は、一方の側をチャンバ壁ライナ252に接続されるとともに他方の側を可撓性の被覆部材246の第1の端に接続された平面部分である。被覆部材246の第2の端は、外側導体リング222に接続された別の接続部材270に接続された平面部分である。接続部材270には、ネジ、リベット、ピンなどの締め具を受けて接続を完了するように適応された締め具穴272が提供されてよい。締め具を酸素ラジカルおよび/またはフッ素ラジカルに曝されないように保護するために、被覆は、締め具の露出表面上にも提供することができる。
図2を参照すると、プロセスガスは、上側電極アセンブリ225を通ってギャップ232に導入され、上側電極アセンブリ225は、プロセスガスがシャワーヘッド効果によってギャップ232に流れ込むように、1つまたは2つ以上のバッフル226を含んでよい。ギャップ232内において、プロセスガスは、下側電極アセンブリ215のサポート上面上に載置された基板214を処理するためのプラズマを生成するために励起される。例えば、基板214は、プロセスガスによってプラズマエッチングすることができる。
基板214の中心軸と同軸のギャップ232は、閉じ込めリングアセンブリ206を含み、かつ外側チャンバ体積268およびチャンバ壁ライナ252を含む領域によって、上側チャンバ壁204から隔てられる。閉じ込めリング・リフトアクチュエータ208の動作に伴って、閉じ込めリングアセンブリ206が下向きに移動する、または下側電極アセンブリ215の上向きの移動に伴って、閉じ込めリングアセンブリ206の底リングが外側導体リング222の肩に接触する。閉じ込めリングアセンブリ206のリングは、約2000Ω−cmの高導電率を有するシリコンまたはシリコンカーバイドのように高導電率を有し、かつギャップ232内におけるプラズマによる過酷な使用環境に耐えることができる材料で形成されることが好ましい。リングは、アルミニウムまたはグラファイトなどのその他の適切な伝導性材料で形成されてよい。閉じ込めリング・リフトアクチュエータ208の支柱は、金属で形成されてよい。
閉じ込めリングアセンブリ206は、ギャップ232内の中性ガス成分が閉じ込めリングアセンブリ206のギャップを概ね水平方向に通り抜けることを可能にしつつ、上側電極アセンブリ225および下側電極アセンブリ215、ならびにリングによって取り囲まれた空間にプラズマを閉じ込めることを助ける。したがって、中性ガス成分は、チャンバ壁204の内側表面によって取り囲まれた外側チャンバ体積268に流れ込む。外側チャンバ体積268内の圧力は、チャンバ壁204の底に取り付けられた真空ポンプユニット244によって制御される。このように、閉じ込めリングアセンブリ206は、ギャップ、すなわちプラズマ励起領域232を、外側チャンバ体積268から隔てる。総じて、ギャップ領域232の体積は、外側チャンバ体積268の体積と比べて小さい。基板214のエッチング速度は、ギャップ232内のプラズマに直接影響されるので、閉じ込めリングアセンブリ206は、チャンバのハードウェアを物理的に大きく変えることなくギャップ232の全範囲にわたって小体積圧力制御およびプラズマ閉じ込めを可能にする。また、ギャップ232の体積は小さいので、プラズマ条件は、迅速に、かつ正確に制御することができる。
上側電極アセンブリ225および下側電極アセンブリ215の反復使用の際に、プラズマに面している電極表面は、プラズマによって徐々に浸食される。ギャップ232は、プロセスの反復性が維持されるように底部電極210および上側電極224の摩耗を相殺するように調整されてよく、それによって、電極の寿命が延びて、消耗品のコストが抑えられる。
図6は、一実施形態にしたがって、チャック160を下側電極アセンブリ150に接合するエラストマ接合170を有する平行平板型プラズマ装置100の基板(ウエハ)エッジ領域の断面を示している。チャック160は、プラズマ処理のために基板180を受けるように適応された上面を有する。チャックの下面は、エラストマ接合170によって下側電極アセンブリ150の上面に接合される。適切なエラストマの詳細は、参照により本明細書に全体を組み込まれる米国特許第6,073,577号に記載されている。下側電極アセンブリ150は、随意に、上側部材152を含むことができる。エラストマ接合170は、酸素ラジカルおよびフッ素ラジカルなどのプラズマ生成ラジカルによる浸食を受けやすい。プラズマ生成ラジカル環境下における耐浸食性を向上されたシリコーンベース材料172は、エラストマ接合170を取り囲み下側電極アセンブリ150の上面をチャック160の下面に密着させるように適応される。シリコーンベース材料172は、好ましくは、in-situ硬化された充填されていない架橋シリコーンゴムである。とりわけ好ましいエラストマは、例えばRhodiaよりRhodorsil V217(商標)として入手可能な、例えばPtなどの触媒を伴って硬化されたエラストマなどの、ポリジメチルシロキサン含有エラストマであり、これは、250℃またはそれを超える温度において安定しているエラストマである。
図7は、別の実施形態にしたがって、基板サポートを取り囲むように適応された温度制御式のホットエッジリング・アセンブリ310を示している。エッジリング・アセンブリ310は、上側リング320、セラミック中間リング330、および伝導性下側リング340を含む。中間リング330は、上面332および下面334を有し、中間リング330の下面334は、下側リング340を介して下側電極アセンブリ150の高周波(RF)電極に熱的に結合される。このようなホットエッジリング・アセンブリ310の詳細は、参照により本明細書に全体を組み込まれる共同所有の米国特許第7,244,336号において見出すことができる。
上側リング320は、シリコン、炭素(例えばグラファイト)、シリコンカーバイドなどの伝熱性で、かつ導電性の材料で作成されることが好ましい。図7に示されるように、上側リング330の下面328は、伝熱性のエラストマによって中間リング330の上面332に接合されることが好ましい。一実施形態にしたがうと、プラズマ生成ラジカル環境下における耐浸食性を向上されたシリコーンベース材料314、316は、エラストマ接合312を取り囲み中間リング330の上面332を上側リング320の下面328に密着させるように適応される。
上述のような、エラストマ接合を取り囲み保護するためにOリング状に成形されたシリコーン材料の実施形態は、容易に交換可能である。Oリングは、コンポーネンツ間のギャップにちょうど嵌ってギャップを密封する断面形状を有することができる、または円形断面を有することができる。シリコーン材料のOリングは、適所に形成することができる、または予め形成して溝に挿入することができる。
本発明は、その具体的な実施形態を参照にして詳細に説明されてきたが、当業者にならば、添付の特許請求の範囲から逸脱することなく様々な変更および修正を加えることならびに等価物を用いることが可能であることが明らかである。
なお、本発明は、以下のような態様で実現することもできる。

適用例1:
半導体基板を処理するためにプラズマ処理装置において使用するためのRF帰還ストラップであって、
表面を有する湾曲した金属片と、
前記表面に接合された可撓性被覆であって、ポリマまたはエラストマを含み、プラズマ生成ラジカルの雰囲気内における耐浸食性が向上され、前記ラジカルから前記金属片を保護する被覆と、を備えるRF帰還ストラップ。

適用例2:
請求項1に記載のRFストラップであって、
前記被覆は、in-situ硬化されたフルオロエラストマ、エポキシ樹脂、シリコーン、またはこれらの組み合わせを含む、RFストラップ。

適用例3:
請求項1に記載のRFストラップであって、
前記被覆は、in-situ硬化された充填されていない架橋シロキサンを含む、RFストラップ

適用例4:
請求項1に記載のRFストラップであって、
前記金属片は、湾曲部分によって接続された2つの平面部分を含み、前記平面ン部分は、ギャップを調整可能な容量結合プラズマチャンバ内において電極およびチャンバ壁に取り付け可能である、RFストラップ。

適用例5:
請求項4に記載のRFストラップであって、
前記金属片は、ベリリウム銅材料片である、RFストラップ。

適用例6:
請求項4に記載のRFストラップであって、
前記電極は、底部電極である、RFストラップ。

適用例7:
請求項1に記載のRFストラップであって、
前記ラジカルは、フッ素および/または酸素を含む、RFストラップ。

適用例8:
プラズマ処理装置であって、
半導体基板を内部においてプラズマ処理するための真空チャンバと、
前記真空チャンバ内において使用するためのプラズマ処理アセンブリであって、エラストマ接合と、プラズマ生成ラジカルに対する耐浸食性を向上されたシリコーンベースのエラストマ材料と、によって第2の部材に接合された第1の部材を含み、前記シリコーンベースのエラストマ材料は、プラズマ生成ラジカルから前記エラストマ接合を保護するために、前記エラストマ接合を取り囲み前記第1の部材の合わせ面を前記第2の部材の合わせ面に密着させる、プラズマ処理アセンブリと、を備えるプラズマ処理装置。

適用例9:
請求項8に記載のプラズマ処理装置であって、
前記プラズマ処理アセンブリは、前記真空チャンバの下部に位置する基板サポートを取り囲む温度制御式のホットエッジリング・アセンブリを含み、
前記第1の部材は、下側リングに重なるセラミック中間リングを含み、前記中間リングは、前記基板サポートに組み込まれたRF電極に前記下側リングを介して取り付けられ、
前記第2の部材は、前記中間リングに重なる上側リングを含み、前記上側リングは、前記真空チャンバの内部に曝された上面と、前記エラストマ接合を介して前記中間リングの上面に接合された下面とを含み、
前記シリコーンベースのエラストマ材料は、プラズマ生成ラジカルから前記エラストマ接合を保護するために、前記エラストマ接合を取り囲み前記中間リングの前記上面を前記上側リングの前記下面に密着させる、プラズマ処理装置。

適用例10:
請求項8に記載のプラズマ処理装置であって、
前記プラズマ処理アセンブリは、前記真空チャンバの下部に位置する基板サポートを含み、
前記第1の部材は、高周波(RF)電源に結合された下側電極アセンブリを含み、
前記第2の部材は、前記下側電極アセンブリの上面に位置する静電チャック部材を含み、前記静電チャック部材は、基板を受ける下面と、前記エラストマ接合によって前記下側電極アセンブリの前記上面に接合された下面とを有し、
前記シリコーンベースのエラストマ材料は、プラズマ生成ラジカルから前記エラストマ接合を保護するために、前記エラストマ接合を取り囲み前記下側電極の前記上面を前記静電チャック部材の前記下面に密着させる、プラズマ処理装置。

適用例11:
請求項10に記載のプラズマ処理装置であって、
前記シリコーンベースのエラストマ材料は、Oリング状である、プラズマ処理装置。

適用例12:
請求項11に記載のプラズマ処理装置であって、
前記Oリング状のシリコーンベースのエラストマ材料は、多角形の断面形状を有する、プラズマ処理装置。

適用例13:
プラズマ処理装置内において半導体基板を処理する方法であって、
プラズマ処理装置の反応チャンバ内において上部電極アセンブリの下で基板サポート上に基板を配することと、
前記反応チャンバにプロセスガスを導入することと、
前記反応チャンバ内において前記上部電極アセンブリと前記基板との間において前記プロセスガスからプラズマを生成することと、
前記基板を前記プラズマによって処理することと、
請求項1に記載のRFストラップを介して前記チャンバのパーツ間においてRF電力を伝送することと、を備える方法。

適用例14:
請求項13に記載の方法であって、
前記処理は、前記基板をエッチングすることを含む、方法。

適用例15:
請求項13に記載の方法であって、
前記基板サポートは、垂直方向に移動可能であり、前記RFストラップは、前記基板サポートと前記チャンバの内壁との間に電流路を提供する、方法。

適用例16:
請求項13に記載の方法であって、
前記上部電極は、垂直方向に移動可能であり、前記RFストラップは、前記上部電極と前記チャンバの内壁との間に電流路を提供する、方法。

適用例17:
請求項13に記載の方法であって、
前記処理は、フッ素ラジカルを含有するプラズマを使用する第1のステップと、酸素ラジカルを含有するプラズマを使用する第2のステップとを含む、方法。

適用例18:
プラズマ処理装置内において半導体基板を処理する方法であって、
請求項8に記載のプラズマ処理装置の反応チャンバ内において基板サポート上に基板を配することと、
前記反応チャンバにプロセスガスを導入することと、
前記反応チャンバ内において上部電極アセンブリと前記基板との間において前記プロセスガスからプラズマを生成することと、
前記基板を前記プラズマによって処理することと、を備える方法。

適用例19:
請求項18に記載の方法であって、
前記処理は、前記基板をエッチングすることを含む、方法。

適用例20:
請求項18に記載の方法であって、
前記処理は、フッ素ラジカルを含有するプラズマを使用する第1のステップと、酸素ラジカルを含有するプラズマを使用する第2のステップとを含む、方法。

Claims (12)

  1. 半導体基板を処理するためにプラズマ処理装置において相対的に移動する部材同士を電気的に接続するためのRFストラップであって、
    表面を有する湾曲した金属片と、
    前記表面に接合された可撓性被覆であって、前記相対的な移動に応じて前記金属片とともに屈曲できる弾性を有するポリマまたはエラストマを含み、プラズマ生成ラジカルの雰囲気内における耐浸食性が向上され、前記ラジカルから前記金属片を保護する被覆と、を備えるRFストラップ。
  2. 請求項1に記載のRFストラップであって、
    前記被覆は、前記被覆が形成されたその場で硬化されたフルオロエラストマ、エポキシ樹脂、シリコーン、またはこれらの組み合わせを含む、RFストラップ。
  3. 請求項1または2に記載のRFストラップであって、
    前記被覆は、前記被覆が形成されたその場で硬化された充填されていない架橋シロキサンを含む、RFストラップ
  4. 請求項1ないし3のいずれかに記載のRFストラップであって、
    前記金属片は、湾曲部分によって接続された2つの平面部分を含み、前記平面部分は、ギャップを調整可能な容量結合プラズマチャンバ内において、前記相対的に移動する部材としての電極およびチャンバ壁に取り付け可能である、RFストラップ。
  5. 請求項1ないし4のいずれかに記載のRFストラップであって、
    前記金属片は、ベリリウム銅材料片である、RFストラップ。
  6. 請求項4、または請求項4に従属する請求項5に記載のRFストラップであって、
    前記電極は、底部電極である、RFストラップ。
  7. 請求項1ないし6のいずれかに記載のRFストラップであって、
    前記ラジカルは、フッ素および/または酸素を含む、RFストラップ。
  8. プラズマ処理装置内において半導体基板を処理する方法であって、
    プラズマ処理装置の反応チャンバ内において上部電極アセンブリの下で基板サポート上に基板を配することと、
    前記反応チャンバにプロセスガスを導入することと、
    前記反応チャンバ内において前記上部電極アセンブリと前記基板との間において前記プロセスガスからプラズマを生成することと、
    前記基板を前記プラズマによって処理することと、
    請求項1に記載のRFストラップを介して前記チャンバの前記部材間においてRF電力を伝送することと、を備える方法。
  9. 請求項8に記載の方法であって、
    前記処理は、前記基板をエッチングすることを含む、方法。
  10. 請求項8に記載の方法であって、
    前記基板サポートは、垂直方向に移動可能であり、前記RFストラップは、前記相対的に移動する部材としての前記基板サポートと前記チャンバの内壁との間に電流路を提供する、方法。
  11. 請求項8に記載の方法であって、
    前記上部電極は、垂直方向に移動可能であり、前記RFストラップは、前記相対的に移動する部材としての前記上部電極と前記チャンバの内壁との間に電流路を提供する、方法。
  12. 請求項8ないし11のいずれかに記載の方法であって、
    前記処理は、フッ素ラジカルを含有するプラズマを使用する第1のステップと、酸素ラジカルを含有するプラズマを使用する第2のステップとを含む、方法。
JP2010545888A 2008-02-08 2009-02-06 プラズマ処理チャンバのパーツのための保護被覆およびその使用方法 Active JP5214743B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US698308P 2008-02-08 2008-02-08
US61/006,983 2008-02-08
PCT/US2009/000786 WO2009099661A2 (en) 2008-02-08 2009-02-06 A protective coating for a plasma processing chamber part and a method of use

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013036655A Division JP2013102236A (ja) 2008-02-08 2013-02-27 プラズマ処理チャンバのパーツのための保護被覆およびその使用方法

Publications (3)

Publication Number Publication Date
JP2011511475A JP2011511475A (ja) 2011-04-07
JP2011511475A5 JP2011511475A5 (ja) 2013-02-14
JP5214743B2 true JP5214743B2 (ja) 2013-06-19

Family

ID=40938009

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010545888A Active JP5214743B2 (ja) 2008-02-08 2009-02-06 プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
JP2013036655A Withdrawn JP2013102236A (ja) 2008-02-08 2013-02-27 プラズマ処理チャンバのパーツのための保護被覆およびその使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013036655A Withdrawn JP2013102236A (ja) 2008-02-08 2013-02-27 プラズマ処理チャンバのパーツのための保護被覆およびその使用方法

Country Status (7)

Country Link
US (2) US8522716B2 (ja)
JP (2) JP5214743B2 (ja)
KR (2) KR101577474B1 (ja)
CN (1) CN102027574B (ja)
SG (1) SG188141A1 (ja)
TW (2) TW201506978A (ja)
WO (1) WO2009099661A2 (ja)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5430136B2 (ja) * 2008-12-08 2014-02-26 電気化学工業株式会社 部材表面の改質方法。
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US9520314B2 (en) 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
KR20170125419A (ko) 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
CN102656952B (zh) * 2009-09-28 2016-10-12 朗姆研究公司 组合式约束环装置及其方法
JP2011086920A (ja) * 2009-10-14 2011-04-28 Greene Tweed Of Delaware Inc プラズマ耐性に優れた処理装置
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
US8529729B2 (en) * 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
CN102456567A (zh) * 2010-10-18 2012-05-16 中芯国际集成电路制造(上海)有限公司 接触孔的等离子体干法刻蚀方法
JP5129848B2 (ja) * 2010-10-18 2013-01-30 東京エレクトロン株式会社 接合装置及び接合方法
JP5767819B2 (ja) * 2011-02-02 2015-08-19 株式会社Ihi プラズマ処理装置
JP6114698B2 (ja) * 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
WO2013032232A2 (ko) * 2011-08-31 2013-03-07 주식회사 테스 기판 처리 장치, 이를 이용한 비정질 탄소막 형성 방법 및 반도체 소자의 갭필 방법
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078152A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Peripheral rf feed and symmetric rf return with rf strap input
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) * 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
WO2013078420A2 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
US8847495B2 (en) 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8677586B2 (en) 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
EP2654070A1 (fr) * 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
JP2013254901A (ja) 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9275835B2 (en) * 2012-11-29 2016-03-01 Gregory DeLarge Plasma generating device with moving carousel and method of use
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
EP2762607B1 (en) * 2013-01-31 2018-07-25 Applied Materials, Inc. Deposition source with adjustable electrode
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
EP2784176B1 (en) 2013-03-28 2018-10-03 Applied Materials, Inc. Deposition platform for flexible substrates
US9449797B2 (en) * 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9502279B2 (en) * 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
TWI717610B (zh) * 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
JP2015162558A (ja) * 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US20160379806A1 (en) * 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
CN106898534B (zh) * 2015-12-21 2019-08-06 中微半导体设备(上海)股份有限公司 等离子体约束环、等离子体处理装置与基片处理方法
CN109156074B (zh) * 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
CN109477221B (zh) * 2016-06-22 2020-12-29 株式会社爱发科 等离子体处理装置
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
FI126863B (en) 2016-06-23 2017-06-30 Beneq Oy Apparatus for treating particulate matter
JP6664298B2 (ja) 2016-09-09 2020-03-13 株式会社バルカー シール材
CN106298424B (zh) * 2016-10-10 2018-04-06 武汉华星光电技术有限公司 干刻蚀电极及刻蚀机
US10943808B2 (en) * 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN106854619B (zh) * 2017-01-19 2023-10-20 西安交通大学 一种基于等离子体的交联装置、使用方法以及应用
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US10199252B2 (en) 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
JP6932070B2 (ja) * 2017-11-29 2021-09-08 東京エレクトロン株式会社 フォーカスリング及び半導体製造装置
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110416046B (zh) * 2018-04-27 2022-03-11 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
JP7141061B2 (ja) * 2018-12-06 2022-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
KR102666641B1 (ko) * 2019-03-21 2024-05-20 주성엔지니어링(주) 기판 처리 장치
US11270870B2 (en) * 2019-04-02 2022-03-08 Applied Materials, Inc. Processing equipment component plating
WO2020251881A1 (en) * 2019-06-08 2020-12-17 Applied Materials, Inc. Rf components with chemically resistant surfaces
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP7365912B2 (ja) * 2020-01-10 2023-10-20 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11335543B2 (en) 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
KR20210120291A (ko) 2020-03-26 2021-10-07 삼성전자주식회사 포커스 링 및 이를 구비하는 기판 고정용 척 어셈블리와 플라즈마 처리장치
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
CN113745081B (zh) * 2020-05-27 2024-03-12 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
US11501957B2 (en) * 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
JP2024514524A (ja) * 2021-04-01 2024-04-02 アプライド マテリアルズ インコーポレイテッド プラズマを使用した薄膜形成のグランドリターン
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站
WO2024053395A1 (ja) * 2022-09-05 2024-03-14 東京エレクトロン株式会社 プラズマ処理装置で使用される部品、プラズマ処理装置で使用される部品の製造方法、及びプラズマ処理装置
CN115881506B (zh) * 2023-03-02 2023-06-27 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3723481A (en) * 1965-07-09 1973-03-27 Gen Electric Ablative coating compositions
JPH05163330A (ja) * 1991-12-16 1993-06-29 Dow Chem Nippon Kk エポキシ燐酸エステル樹脂、その製造方法、及びその樹脂を含む塗料組成物
JPH07201824A (ja) * 1993-12-29 1995-08-04 Tokyo Electron Ltd 処理装置
US5458162A (en) * 1994-06-27 1995-10-17 Lockheed Missiles & Space Company, Inc. Passive intermodulation products (PIM) free antenna mesh
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5702387A (en) * 1995-09-27 1997-12-30 Valleylab Inc Coated electrosurgical electrode
JPH11204293A (ja) * 1998-01-14 1999-07-30 Sony Corp プラズマ処理装置
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000114358A (ja) * 1998-10-05 2000-04-21 Tomoegawa Paper Co Ltd 静電チャック装置
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6070444A (en) * 1999-03-31 2000-06-06 Sherwood Services Ag Method of mass manufacturing coated electrosurgical electrodes
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US20010046459A1 (en) * 1999-09-21 2001-11-29 St. Onge Benedict B. High efficiency ozone generator
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
JP4311600B2 (ja) * 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
JP2003007812A (ja) * 2001-06-27 2003-01-10 Komatsu Ltd 静電チャック及び半導体製造装置
US6540745B1 (en) * 2001-05-01 2003-04-01 Aeromet Technologies, Inc. Coated medical devices
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20060002234A1 (en) * 2004-06-30 2006-01-05 Lobe Henry J Anti-biofouling seismic streamer casing and method of manufacture
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4458995B2 (ja) * 2004-09-10 2010-04-28 京セラ株式会社 ウェハ支持部材
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7147634B2 (en) * 2005-05-12 2006-12-12 Orion Industries, Ltd. Electrosurgical electrode and method of manufacturing same
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4942471B2 (ja) * 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路

Also Published As

Publication number Publication date
KR20100122901A (ko) 2010-11-23
KR20150083142A (ko) 2015-07-16
SG188141A1 (en) 2013-03-28
CN102027574A (zh) 2011-04-20
TW201506978A (zh) 2015-02-16
KR101577474B1 (ko) 2015-12-14
TW200947494A (en) 2009-11-16
WO2009099661A3 (en) 2009-10-22
US20140065835A1 (en) 2014-03-06
TWI480913B (zh) 2015-04-11
KR101625516B1 (ko) 2016-05-30
US8522716B2 (en) 2013-09-03
JP2011511475A (ja) 2011-04-07
CN102027574B (zh) 2014-09-10
WO2009099661A2 (en) 2009-08-13
JP2013102236A (ja) 2013-05-23
US20090200269A1 (en) 2009-08-13

Similar Documents

Publication Publication Date Title
JP5214743B2 (ja) プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
KR102462224B1 (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
KR101141488B1 (ko) 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP4146905B2 (ja) 処理装置
JP5313211B2 (ja) フォーカスリング及びプラズマ処理装置
JP4640922B2 (ja) プラズマ処理装置
JP2023030013A (ja) V字型シールバンドを有するセラミック静電チャック
JP2011511475A5 (ja)
JP6442463B2 (ja) 環状のバッフル
KR101644915B1 (ko) 플라즈마 처리 장치
JP2018510496A (ja) 高温ポリマー接合によって金属ベースに接合されたセラミックス静電チャック
WO2008048543A1 (en) Upper electrode backing member with particle reducing features
KR20060087432A (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
US6479410B2 (en) Processing method for object to be processed including a pre-coating step to seal fluorine
CN110634725B (zh) 喷淋头和等离子体处理装置
TWI768546B (zh) 一種等離子體處理裝置
US20230335377A1 (en) Showerhead assembly with heated showerhead
WO2021250981A1 (ja) プラズマ処理装置およびプラズマ処理方法
WO2023136814A1 (en) Plasma radical edge ring barrier seal

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120918

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20121217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130227

R150 Certificate of patent or registration of utility model

Ref document number: 5214743

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160308

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250