CN102027574A - 等离子体处理室部件的保护性涂层及其使用方法 - Google Patents

等离子体处理室部件的保护性涂层及其使用方法 Download PDF

Info

Publication number
CN102027574A
CN102027574A CN2009801124634A CN200980112463A CN102027574A CN 102027574 A CN102027574 A CN 102027574A CN 2009801124634 A CN2009801124634 A CN 2009801124634A CN 200980112463 A CN200980112463 A CN 200980112463A CN 102027574 A CN102027574 A CN 102027574A
Authority
CN
China
Prior art keywords
plasma
radio frequency
substrate
free radical
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801124634A
Other languages
English (en)
Other versions
CN102027574B (zh
Inventor
鲍比·卡德霍达彦
乔恩·麦克切斯尼
埃里克·佩普
拉金德尔·德辛德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102027574A publication Critical patent/CN102027574A/zh
Application granted granted Critical
Publication of CN102027574B publication Critical patent/CN102027574B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种在等离子体室中使用的柔性聚合物或弹性体涂覆的射频返回带,以保护该射频带免受等离子体产生的自由基(比如氟和氧自由基)的损害,以及一种处理半导体衬底,同时减少等离子体处理装置中的微粒污染的方法。该涂覆射频带最小化微粒的产生并比未涂覆基底构件呈现出更低的侵蚀速率。在导电的柔性基底构件上具有柔性涂层的这种涂覆元件提供了射频地返回,其被配置为允许可调节间隙的电容耦合等离子体反应室中的一个或更多电极的移动。

Description

等离子体处理室部件的保护性涂层及其使用方法
背景技术
等离子体处理装置被用于使用包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、离子注入和光阻(resist)去除等技术处理衬底。等离子体处理中使用的一种类型的等离子体处理装置包括包含上下电极的反应室。在电极之间建立电场以将工艺气体激励到等离子态以在反应室中处理衬底。
发明内容
在一个实施方式中,一种涂覆射频返回带包括具有表面的弯曲金属条和粘着于该表面的柔性涂层,该涂层包含聚合物或弹性体,其中该涂层在由等离子体产生的自由基的环境中提供耐侵蚀性并保护该金属条免受该自由基的损害。
在第二实施方式中,提供一种等离子体处理装置,其包含用于等离子体处理其中的半导体衬底的真空室,以及在该真空室中使用的等离子体处理总成。该总成包含由弹性体粘合剂和硅酮基弹性体材料粘着于第二元件的第一元件,该硅酮基弹性体材料对由等离子体产生的自由基有改善的耐侵蚀性,该硅酮基弹性体材料围绕该弹性体粘合剂并将该第一元件的吻合表面密封到该第二元件的吻合表面以保护该弹性体粘合剂免受由等离子体产生的自由基的损害。
第三实施方式提供一种在等离子体处理装置中处理半导体衬底的方法,其中衬底被放在等离子体处理装置的反应室中上电极总成下方的的衬底支座上。将工艺气体引入该反应室并在该反应室中、在该上电极总成和该衬底之间从该工艺气体产生等离子体。用该等离子体处理该衬底,同时该涂覆射频返回带在暴露于由等离子体产生的自由基的该室的部件间传送射频电力。
在又一个实施方式中,一种在等离子体处理装置中处理半导体衬底的方法包括将衬底放在如第二实施方式所述的等离子体处理装置的反应室中的衬底支座上。将工艺气体引入该反应室,在该反应室中、在该上电极总成和该衬底之间从该工艺气体产生等离子体,并用该等离子体处理该衬底。在该衬底的处理过程中该硅酮基弹性体材料保护该弹性体粘合剂免受由等离子体产生的自由基的损害。
附图说明
图1显示了包括涂覆元件的实施方式的可调节间隙电容耦合等离子体处理室的示意图。
图2是显示在弹性体(elastomer)涂覆试样的富含氟的等离子体中的侵蚀速率的实验结果的柱状图。
图3是显示在弹性体涂覆试样的实施方式的富含氧的等离子体中的侵蚀速率的实验结果的柱状图。
图4是显示涂覆元件的实施方式的照片。
图5描绘了涂覆元件的一个实施方式。
图6显示了真空处理室的一部分的横截面视图,其中硅酮基弹性体材料的一个实施方式围绕静电卡持装置的下表面和下电极的上表面之间的弹性体粘合剂。
图7显示了平行板等离子体装置的晶圆边缘区域的横截面视图,其中硅酮基弹性体材料的一个实施方式围绕上热边缘环的下表面和温控热边缘环总成中的陶瓷中间环的上表面之间的弹性体粘合剂。
具体实施方式
为了实现可靠的器件并获得高成品率,在集成电路制造过程中对衬底(比如平板显示器和半导体晶圆)表面上的微粒污染进行控制是必要的。处理设备,比如等离子体处理装置,可能是微粒污染的来源。例如,该晶圆表面上微粒的存在可能局部干扰光刻和蚀刻步骤过程中的图形转移。其结果是,这些微粒可能为关键特征(包括栅极结构、金属间电介质层或金属互连线)引入缺陷,从而导致该集成电路构件的故障或失效。
具有相对短寿命的反应器部件通常被称为“易耗品”,例如硅电极。如果该易耗部件的寿命很短,那么拥有成本就很高。电介质蚀刻工具中使用的硅电极总成在大量射频小时(射频电力用于产生该等离子体的过程中的时间,以小时计)后变质。易耗品和其它部件的侵蚀在该等离子体处理室中产生微粒污染。侵蚀可能发生在直接暴露于等离子体的部件上,或者由于暴露于由工艺气体的等离子体产生的高密度的自由基(比如氟和/或氧自由基)而发生在该室的约束等离子体区域外的部件上。
图1显示了等离子体处理装置的可调节间隙的电容耦合等离子体(CCP)处理室200的一个示例性实施方式。室200允许对上电极总成225的上电极224的下表面和在下电极总成215上支撑的衬底214的上表面之间的电极间隙232进行精确的控制。在晶圆的多步处理过程中,该间隙的高度可以改变一次或更多次以使晶圆处理条件最佳。
室200包含室外壳202;安装到室外壳202的天花板228的上电极总成225;安装到室外壳202的地板205的下电极总成215,该下电极总成215与该上电极总成225的下表面间隔开且基本上平行;围绕上电极总成225和下电极总成215之间的间隙232的约束环总成206;上室壁204;以及封住(enclose)上电极总成225的顶部部分的室顶部230。上电极总成225包含上电极224;以及一个或更多挡板226,挡板226包括用于将工艺气体分发到上电极224和下电极总成215之间限定的间隙232中的气体通道。为了简明,图中显示上电极总成225具有三个构件。然而,上电极总成225可以包括其它构件。室外壳202有门(未示),衬底214通过该门被卸载/装载到室200中。例如,衬底214可以通过装载锁(load lock)进入该室,如同在共同受让的美国专利6,899,109中描述的,通过参考将该专利的内容全部并入本文。
在一些示例性实施方式中,上电极总成225能够在上下方向上调节(图1中的箭头A和A′)以调节上下电极总成225/215之间的间隙232。上总成升高致动器256升高或降低上电极总成225。在该图示中,从室天花板228竖直延伸的环形延长部229以可调节方式沿着上室壁204的柱形孔203定位。可以使用密封装置(未示)在229/203间提供真空密封,同时允许上电极总成225相对于上室壁204和下电极总成215移动。上柔性涂覆元件248电气耦合上电极总成225和上室壁204。上柔性涂覆元件248包含导电并且柔性的金属条(图4中的233),该柔性金属条涂覆有粘着于金属条233的外表面的柔性涂层(图4中的235)。柔性涂层235通过阻止由工艺气体的等离子体产生的该金属条与活性物质(自由基)接触而保护该金属条免于由于等离子体自由基而变质。
在一个实施方式中,柔性涂覆元件248的基底构件是由铍铜(BeCu)组成的射频带。然而,也可以使用其它柔性的、导电的材料。在一个实施方式中,柔性涂层235是由弹性体或聚合物组成的。优选地,柔性涂层235是不包括导电填料微粒(比如Si、SiC、Al或类似的微粒)的交联硅氧烷(硅酮橡胶)。上柔性涂覆元件248在上电极总成225和上室壁204之间提供导电返回路径以允许电极总成225在室200内竖直移动。该带包括两个由弯曲部分连接的平坦末端。该弯曲部分适应上电极总成225相对于上室壁204的移动。根据比如室的尺寸等因素,多个(2、4、6、8或10个)射频返回带可以被布置在围绕电极总成225的环形间隔开的位置。
为了简明,图1中只显示了一个连接到气体源234的气体管线236。更多气体管线可以被耦合于上电极总成225,而气体可以通过上室壁204的其它部分和/或室顶部230被供应。
在其它示例性实施方式中,下电极总成215可上下移动(图1中的箭头B和B′)以调整间隙232,而上电极总成225可以是固定的或可移动的。图1描绘了连接到轴260的下总成升降致动器258,轴260延伸穿过室外壳202的地板(下壁)205到达支撑下电极总成215的下导电元件264。根据图1中描绘的实施方式,波纹管(bellow)262形成密封装置的一部分以在轴260和室外壳202的地板205之间提供真空密封,同时允许当轴260被下总成升降致动器258升高或降低时下电极总成215相对于上室壁204和上电极总成225移动。如果需要的话,下电极总成215可以由其他装置升高或降低。例如,在共同待定的美国专利申请公开2008/0171444中披露了一种可调节间隙的电容耦合等离子体处理室的另一个实施方式,其中该处理室通过悬臂梁升高和降低下电极总成215,该专利申请公开的内容通过参考全部并入本文。
如果需要的话,可移动下电极总成215可以通过至少一个下柔性涂覆元件246接地到该室的壁,元件246将外部导体环(接地环)222耦合于导电部件,比如室壁衬垫252。下柔性涂覆元件246包含导电并且柔性的金属条和粘着于该柔性金属条表面的柔性涂层,如同上面参考上柔性涂覆元件248描述的。该柔性涂层通过阻止该金属条与由工艺气体的等离子体产生的活性物质(自由基)接触而保护该金属条免于由于等离子体自由基而变质。下柔性涂覆元件246将外部导体环(接地环)222电气耦合于上室壁204并为等离子体提供短射频返回路径,同时允许下电极总成215在室200内竖直移动,比如在多步等离子体处理过程中,其中该间隙被设置为不同的高度。优选地,该金属条是柔性导电条,比如被聚合物涂覆的铍铜条。
图1进一步显示了约束环总成206的一个实施方式,该约束环总成206用于约束临近衬底214的等离子体量并最小化与等离子体相互作用的表面面积。在一个实施方式中,约束环总成206连接于升降致动器208从而约束环总成206能够在竖直方向上(箭头C-C′)移动,意味着约束环总成206可以相对于上下电极总成225/215和室200被手动或自动升高或降低。该约束环总成不受特别限制,合适的约束环总成206的细节在共同受让的美国专利6,019,060和美国专利申请公开2006/0027328中有所描述,其内容全部通过参考并入本文。
约束环总成206可以通过至少一个柔性涂覆元件250接地到该室的壁,该元件250将约束环总成206电气耦合到导电部件,比如上室壁204。图1显示了由水平延长部254支撑的导电室壁衬垫252。涂覆的柔性元件250优选地包含多个金属条,这些金属条通过将约束环总成206电气耦合到上室壁204而提供短射频返回路径。涂覆的射频返回带包含柔性且导电的金属条和保护性的且柔性的涂层,如同上面参考上柔性涂覆元件248所述的。柔性涂覆元件250可在室200内的约束环总成206的各个竖直位置上在约束环总成206和上室壁204之间提供导电路径。
在图1中显示的实施方式中,下导电元件264电气连接于围绕电介质耦合环220的外部导体环(接地环)222,该电介质耦合环220将外部导体环222与下电极总成215电气绝缘。下电极总成215包括卡盘212、聚焦环总成216和下电极210。然而,下电极总成215可以包括其他元件,比如用于升降衬底的升降销(lift pin)机构、光学传感器和用于冷却下电极总成215的冷却机构(连接于下电极总成215或形成下电极总成215的一部分)。在操作过程中,卡盘212将衬底214卡持在下电极总成215的上表面上的合适的位置。卡盘212可能是静电、真空或机械卡盘。
通常从通过阻抗匹配网络238耦合于下电极210的一个或更多射频电力供应240向下电极210提供射频电力。该射频电力可以以一个或更多频率供应,例如,2MHz、27MHz和60MHz。该射频电力激励该工艺气体以在间隙232内产生等离子体。在一些实施方式中,上电极224和室外壳202电气耦合于地。在其它实施方式中,上电极224与室外壳202绝缘并由射频供应通过阻抗匹配网络供应射频电力。
上室壁204的底部耦合于真空泵单元244以从室200排出气体。优选地,约束环总成206基本上终止在间隙232内形成的电场并阻止该电场穿透外部室容积268。
被注入间隙232的工艺气体被激励以产生用于处理衬底214的等离子体,穿过约束环总成206并进入外部室容积268,直到由真空泵单元244排出。因为在操作过程中外部室容积268中的反应器室部件可能暴露于活性工艺气体(自由基、活性物质),它们优选地是由能够抵抗工艺气体的材料(比如不锈钢)形成的或具有保护性涂层。同样地,波纹管262优选地是由能够抵抗工艺气体化学物质的材料比如不锈钢形成的。
在一个在操作过程中射频电力供应240向下电极总成215供应射频电力的实施方式中,射频电力供应240经由轴260将射频能量供应到下电极210。间隙232中的工艺气体被输送到下电极210的射频电力电气激励以产生等离子体。
在室200中,柔性涂覆元件246/248/250可以是射频返回带以在室壁衬垫252或上室壁204和外部导体环(接地环)222、约束环总成206和/或上电极总成225之间提供如上所述的可靠的电气连接。在晶片处理过程中,上下电极225/215之间的间隙232可以被调整而该射频返回带在这种空隙调整过程中经历弯曲。与包含室200的上室壁204的射频返回路径相比,这些涂覆元件为该等离子体创建替代的和更短的射频返回路径。例如,外部导体环222是由导电材料形成的并被电介质耦合环220与下电极总成215电气绝缘。该返回路径是通过上电极总成225、柔性涂覆元件248、上室壁204、柔性涂覆元件246、外部导体环222、壁或轴260的罩,到达匹配网络238。波纹管262优选地不是该返回路径的一部分。该返回路径还可能穿过从下导电元件264延伸到地的一个或更多柔性涂覆元件(图1中未示);和/或穿过从地(底部壁)205延伸到轴260的一个或更多柔性涂覆元件(图1中未示)。
优选地,外部导体环222通过三到十二个柔性涂覆元件246电气连接于室壁衬垫252。更优选地,八个被聚合物涂覆的射频带将外部导体环222电气连接到室壁衬垫252。
当在间隙控制以便于晶圆处理或衬底装载/卸载过程中外部导体环222相对于上室壁204移动时,柔性涂覆元件246能够充分变形以适合该相对运动。柔性涂覆元件246优选地是由金属合金形成的,比如半导体级铍铜(BeCu)。优选地,该柔性涂覆元件246上的涂层对活性工艺气体是有抵抗力的。柔性涂覆元件246/248/250的弯曲部分别由于上室壁204或壁衬垫252和导体环222/上电极总成225/约束环总成206之间的相对运动而被拉长或压缩。柔性涂覆元件246/248/250可具有一个或更多弯曲部分以适合该间隙调整。
将未被涂覆的导电柔性金属条暴露于该室外壳202内工艺气体和/或等离子体产生的自由基可能因该柔性条的暴露金属的侵蚀而产生污染。等离子体产生的自由基还可能因为移动穿过约束环总成206的自由基而侵蚀外部室容积268中的支座和构件。除了由于该条的侵蚀带来的微粒和/或金属污染之外,该真空室中未被涂覆的条可能需要比预定的室维护更快地被更换。暴露于等离子体产生的自由基的金属构件(比如导电柔性条)的聚合物或弹性体涂层显著增加了该构件的寿命并减少了该等离子体室中不想要的微粒和/或金属污染。
为了测试各种涂层,将被弹性体和聚合物涂覆的试样在等离子体室(比如等离子体蚀刻室,如由Lam Research Corporation(www.lamrc.com)提供的Lam Research 2300ExelanTM Flex等离子体处理系统)中暴露于等离子体产生的氟自由基。这些实验的结果在图2中用柱状图显示,并呈现在表1中。为了测试对氧自由基的抵抗力,以类似对于暴露于氟自由基的试样描述的方式,将试样上的弹性体和聚合物涂层暴露于等离子体产生的氧自由基。在氧自由基中这些实验的结果在图2中作为柱状图显示并呈现在表1中。
表1.弹性体和聚合物柔性涂层在氟或氧活性物质(自由基)中的侵蚀的实验测试结果
Figure BPA00001234476900101
Figure BPA00001234476900111
测试试样上的弹性体和聚合物涂层在接触到由工艺气体的等离子体产生的活性物质(自由基)时被侵蚀。图2显示了在暴露于含氟(F)自由基的等离子体之后测量到的平均侵蚀速率(以μm/1000小时计算)。样品1-10的涂层包含氟化弹性体(fluoroelastomer)。这些氟化弹性体呈现出从样品7(PTFE)的约27μm/1000小时到样品10(有机填充的全氟化弹性体(perfluoroelastomer))的约64μm/1000小时的F自由基侵蚀速率。样品11是一种两组分环氧树脂(two part epoxy),与全氟化弹性体相比,它对氟自由基化学物质具有约16μm的明显更好的耐侵蚀性。意外的是,由硅酮型材料组成的样品12和13与任何其它被测试的样品相比,呈现出对氟自由基好得多的耐侵蚀性。该两组分未填充硅酮样品呈现出约7μm/1000小时的平均侵蚀速率而该填充的硅酮样品呈现出约9μm/1000小时的平均侵蚀速率。
图3显示了在被弹性体和聚合物涂覆的试样暴露于含氧(O)自由基的等离子体后的平均侵蚀速率(以μm/1000小时计算)。在这个环境中,氟化弹性体呈现出从样品5(Sifel 614TM)的约1.2μm/1000小时到样品9(硅酸盐填充的全氟化弹性体)的约16μm/1000小时的侵蚀速率。样品11(该两组分环氧树脂)在暴露于氧自由基时比任何其它被测试的样品呈现出明显更多的侵蚀,其中侵蚀速率是约50μm/1000小时。意外的是,与任何其它被测试的样品相比,由该两组分未填充硅酮材料组成的样品12呈现出对氧自由基的好得多的耐侵蚀性。该两组分未填充硅酮样品呈现出约1.1μm/1000小时的平均侵蚀速率。
通过观看表1和图2和3中的结果可以看出,与其它被测试的材料相比,硅酮涂层在氟和氧两种自由基环境中都呈现出明显更好的耐侵蚀性。暴露于富含氟的等离子体的Rhodorosil V217TM硅酮与被测试的其它材料类型相比具有极低的侵蚀速率,次最耐侵蚀材料类型具有Rhodorosil V217TM的几乎两倍的平均侵蚀速率。如图3中所示,硅酮基材料也具有在氟含氧的等离子体中极低的侵蚀速率。这个结果也令人意外,因为所测试的许多材料类型只在一个环境中工作地很好。
该柔性被涂覆元件246/248/250通常在该室的被约束等离子体区域外,但是在一些工艺条件下,高密度的氟和氧自由基有可能存在于该被约束等离子体区域外。该柔性涂覆元件246/248/250的暴露的基底金属(比如BeCu)可能对在该处理室的真空环境中的处理晶圆造成金属污染。因此该柔性涂覆元件246/248/250上的涂层优选地是有弹性的从而能忍受弯曲以及暴露于富含氟和氧自由基的化学物质以提供该柔性涂覆元件246/248/250的明显更长的寿命。
图4是显示下柔性涂覆元件248的一个实施方式的照片。暴露于该自由基环境的导电且柔性金属条233的表面被涂覆有硅酮弹性体材料作为柔性涂层235。
为了涂覆该柔性构件,优选地在施加该涂层之前清洁柔性金属条233。例如,BeCu柔性金属条的表面可以通过喷砂使其变粗糙、用与被施加的涂层兼容的底涂剂(primer)底涂,并在该底涂剂干燥后用硅酮弹性体材料浸涂或喷淋涂。然而,可以直接用该硅酮弹性体材料涂覆该表面,也就是说,如果需要的话不使用该底涂剂。优选地,用任何合适技术固化(cure)该涂层。例如,该涂层可以被加热或经受其它固化方法以固化该硅酮材料。
在一个实施方式中,弹性体或聚合物材料对柔性导电金属构件提供柔性涂层以粘着于该金属构件的表面并保护该金属部件免受该工艺气体的自由基的损害。优选地,该涂层是原地固化的弹性体或聚合物,其在真空环境中耐自由基的侵蚀并且在高温下(比如在200摄氏度以上)耐退化。能够在160摄氏度以上的等离子体环境中使用的聚合材料包括聚酰亚胺、聚酮、聚醚酮、聚醚砜、聚对苯二甲酸乙二醇酯、氟化乙烯丙烯共聚物、纤维素、三乙酸酯、硅酮和橡胶。
更优选地,该涂层是原地室温硫化(RTV)的未填充硅氧烷,其呈现适当的固化前和固化后特性,比如粘着强度、弹性模数、侵蚀速率、耐热性等。例如,原地可固化硅酮可以是两组分或一组分的使用铂、过氧化物或热固化的树脂。优选地,该硅酮弹性体材料有具有甲基基团的Si-O主链(硅氧烷)。然而,也可以使用碳或碳-氟主链。最优选地,该硅酮材料原地固化以保护该基底构件,形成未填充的、交联的硅酮橡胶。尤其优选的弹性体是包含弹性体(比如催化剂固化的弹性体,例如,Pt-固化的弹性体,可以从Rhodia作为Rhodorsil V217TM得到,一种在250摄氏度和更高温度下稳定的弹性体)的聚二甲硅氧烷。
图5描绘了柔性且导电的涂覆元件246的一个实施方式,该元件246将外部导体环222电气连接于可调节间隙的电容耦合等离子体反应器室200中的导电室侧壁衬垫252。图5显示了导电连接元件270,比如不锈钢、铜、铝或镀金金属块,被修改为适于连接该导电的且柔性的金属条233的未涂覆区域(参看图4)。柔性涂覆元件246可以是0.002到0.020英寸厚、0.25到1英寸宽且2到10英寸长。连接到连接元件270的柔性金属条233被柔性聚合物或弹性体涂层235完全保护免于受自由基损害。一个连接元件270在一侧连接到室壁衬垫252而在另一侧连接到柔性涂覆元件246的第一末端的平坦部分。涂覆元件246的第二末端是连接到另一连接元件270的平坦部分,连接元件270连接于外部导体环222。在连接元件270中可以提供紧固件孔272,紧固件孔272适于接收紧固件,比如螺杆、铆钉、插脚之类以完成该连接。为了保护该紧固件免于暴露于氧和/或氟自由基,在该紧固件的暴露表面上也可以提供涂层。
参考图2,工艺气体通过上电极总成225被引入间隙232,上电极总成225可包括一个或更多挡板226从而工艺气体以喷淋头效果流入间隙232。在间隙232中,该工艺气体被激励以产生等离子体以处理安装在下电极总成215的上支撑表面上的衬底214。例如,可以用该工艺气体等离子体蚀刻衬底214。
间隙232(其与衬底214的中心轴同轴)被凭借包括约束环总成206的区域与上室壁204间隔开,且包括外部室容积268和室壁衬垫252。当约束环升降致动器208工作时,约束环总成206向下移动,或当下电极总成215向上移动时,约束环总成206的底部环与外部导体环222的肩部进行接触。约束环总成206的环优选地是由具有高导电率(比如具有约2000ω-cm的高导电率的硅或碳化硅)并能够经受间隙232中等离子体的严酷工作环境的材料形成的。该环可以是由其它合适的导电材料比如铝或石墨形成的。约束环升降致动器208的柱可以是由金属形成的。
约束环总成206帮助将等离子体约束到由上下电极总成225、215和该些环围绕的空间,同时允许间隙232中的中性气体成分以大体水平的方向穿过约束环总成206中的间隙。然后,中性气体成分流入由室壁204的内表面围绕的外部室容积268。外部室容积268中的压强是由固定于室壁204的底部的真空泵单元244控制的。如此,约束环总成206将该间隙或等离子体激励区域232与外部室容积268分开。通常,间隙区域232的容积相对于外部室容积268很小。因为衬底214的蚀刻速率受到间隙232中的等离子体的直接影响,所以约束环总成206能够在间隙232的整个范围上进行小容积的压强控制和等离子体约束,而不对该室的硬件进行重大的物理改变。而且,因为间隙232的容积很小,等离子体条件可以被快速而准确地控制。
在重复使用上电极总成225和下电极总成215后,面对该等离子体的电极表面逐渐被该等离子体侵蚀。间隙232可以被调整以补偿下电极210和上电极224的磨损,以便该工艺的可重复性得以保持,并由此延长该电极的寿命并降低易耗品的成本。
图6显示了,根据一个实施方式,具有弹性体粘合剂170的平行板等离子体装置100的衬底(晶圆)边缘区域的横截面,该弹性体粘合剂170将卡盘160连接到下电极总成150。卡盘160具有适于接收衬底180以进行等离子体处理的上表面。该卡盘的下表面被弹性体粘合剂170粘结于下电极总成150的上表面。合适的弹性体的细节在美国专利6,073,577中有所描述,其内容全部通过参考并入本文。下电极总成150可选地可包括上部元件152。弹性体粘合剂170容易受到等离子体产生的自由基(比如氧和氟自由基)的侵蚀。在由等离子体产生的自由基环境中具有更好的耐侵蚀性的硅酮基材料172被改造为适于围绕弹性体粘合剂170并将下电极总成150的上表面密封到卡盘160的下表面。硅酮基材料172优选地是原地固化的未填充的、交联的硅酮橡胶。一种尤其优选的弹性体是包含弹性体(比如催化剂固化的弹性体,例如,Pt-固化的弹性体,可以从Rhodia作为Rhodorsil V217TM得到,一种在250摄氏度和更高温度下稳定的弹性体)的聚二甲硅氧烷。
图7显示了,根据另一个实施方式,温控热边缘环总成310,该总成310适于围绕该衬底支座。边缘环总成310包括上部环320、陶瓷中间环330和导电下部环340。中间环330具有上表面332和下表面334,其中中间环330的下表面334通过下部环340热耦合于下电极总成150的射频(RF)电极。这种热边缘环总成310的细节可以在共同拥有的美国专利7,244,336中找到,其内容通过参考全部并入本文。
上部环320优选地是由导电并导热的材料(比如硅、碳(例如石墨)、碳化硅等)制成的。如图7所示,优选地,上部环320的下表面328优选地被导热弹性体粘着于中间环330的上表面332。根据一个实施方式,在由等离子体产生的自由基环境下有更好的耐侵蚀性的硅酮基材料314、316被改造为围绕弹性体粘合剂312并将中间环330的上表面332密封到上部环320的下表面328。
如上所述的形状为O形环以围绕并保护弹性体粘合剂的硅酮材料的实施方式可以被很容易地更换。该O形环具有适合并且密封元件之间的间隙的横截面形状或具有环形横截面。该硅酮材料O形环可以是就地成型的或者是预成型然后插入凹槽中的。
尽管参考具体实施方式对本发明进行了详细描述,然而对本领域的技术人员来说,显然,可以做出各种变化和修改,并使用等同,而不违背所附权利要求的范围。

Claims (20)

1.一种在用于半导体衬底处理的等离子体处理装置中使用的射频返回带,所述射频返回带包含:
具有表面的弯曲金属条;以及
粘着于所述表面的柔性涂层,所述涂层包含聚合物或弹性体,其中所述涂层在由等离子体产生的自由基的环境中有更好的耐侵蚀性并保护所述金属条免受所述自由基的损害。
2.根据权利要求1所述的射频返回带,其中所述涂层包含原地固化的氟化弹性体、环氧树脂、硅酮或其组合。
3.根据权利要求1所述的射频返回带,其中所述涂层包含未填充的原地固化的交联硅氧烷。
4.根据权利要求1所述的射频返回带,其中所述金属条包括由弯曲部分连接的两个平坦部分,所述平坦部分能够被固定于可调节间隙的电容耦合等离子体室中的电极和室壁。
5.根据权利要求4所述的射频返回带,其中所述金属条是由铍铜材料制成的条。
6.根据权利要求4所述的射频返回带,其中所述电极是下电极。
7.根据权利要求1所述的射频返回带,其中所述自由基包含氟和/或氧。
8.一种等离子体处理装置,包含:
真空室,其用于等离子体处理其中的半导体衬底;
在所述真空室中使用的等离子体处理总成,其包含由弹性体粘合剂和硅酮基弹性体材料粘着于第二元件的第一元件,所述硅酮基弹性体材料对由等离子体产生的自由基有更好的耐侵蚀性,所述硅酮基弹性体材料围绕所述弹性体粘合剂并将所述第一元件的吻合表面密封到所述第二元件的吻合表面以保护所述弹性体粘合剂免受由等离子体产生的自由基的损害。
9.根据权利要求8所述的等离子体处理装置,其中
所述等离子体处理总成包含围绕位于所述真空室的下部分中的衬底支座的温控热边缘环总成;
所述第一元件包含覆盖下部环的陶瓷中间环,所述中间环经由所述下部环固定于结合在所述衬底支座中的射频电极;
所述第二元件包含覆盖所述中间环的上部环,其中所述上部环具有暴露于所述真空室的内部的上表面和经由所述弹性体粘合剂粘着于所述中间环的上表面的下表面;以及
所述硅酮基弹性体材料围绕所述弹性体粘合剂并将所述中间环的上表面密封到所述上部环的下表面以保护所述弹性体粘合剂免受由等离子体产生的自由基的损害。
10.根据权利要求8所述的等离子体处理装置,其中
所述等离子体处理总成包含位于所述真空室的下部分中的衬底支座;
所述第一元件包含耦合于射频(RF)电力供应的下电极总成;
所述第二元件包含位于所述下电极总成的上表面上的静电卡持元件,所述静电卡持元件具有接收衬底的上表面和由所述弹性体粘合剂粘着于所述下电极总成的上表面的下表面;以及
所述硅酮基弹性体材料围绕所述弹性体粘合剂并将所述下电极的上表面密封到所述静电卡持元件的下表面以保护所述弹性体粘合剂免受由等离子体产生的自由基的损害。
11.根据权利要求10所述的等离子体处理装置,其中所述硅酮基弹性体材料是O形环形状的。
12.根据权利要求11所述的等离子体处理装置,其中所述O形环形状的硅酮基弹性体材料具有多边形横截面形状。
13.一种在等离子体处理装置中处理半导体衬底的方法,所述方法包含:
将衬底放在等离子体处理装置的反应室中上电极总成下方的衬底支座上;
将工艺气体引入所述反应室;
在所述反应室中、在所述上电极总成和所述衬底之间从所述工艺气体产生等离子体;
用所述等离子体处理所述衬底;以及
经由如权利要求1所述的射频返回带在所述室的部件间传送射频电力。
14.根据权利要求13所述的方法,其中所述处理包含蚀刻所述衬底。
15.根据权利要求13所述的方法,其中所述衬底支座能够竖直移动且所述射频带在所述衬底支座和所述室的内壁之间提供电流路径。
16.根据权利要求13所述的方法,其中所述上电极能够竖直移动且所述射频带在所述上电极和所述室的内壁之间提供电流路径。
17.根据权利要求13所述的方法,其中所述处理包括使用包含氟自由基的等离子体的第一步骤和使用包含氧自由基的等离子体的第二步骤。
18.一种在等离子体处理装置中处理半导体衬底的方法,所述方法包含:
将衬底放在如权利要求8所述的等离子体处理装置的反应室中的衬底支座上;
将工艺气体引入所述反应室;
在所述反应室中、在所述上电极总成和所述衬底之间从所述工艺气体产生等离子体;
用所述等离子体处理所述衬底。
19.根据权利要求18所述的方法,其中所述处理包含蚀刻所述衬底。
20.根据权利要求18所述的方法,其中所述处理包括使用包含氟自由基的等离子体的第一步骤和使用包含氧自由基的等离子体的第二步骤。
CN200980112463.4A 2008-02-08 2009-02-06 等离子体处理室部件的保护性涂层及其使用方法 Active CN102027574B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US698308P 2008-02-08 2008-02-08
US61/006,983 2008-02-08
PCT/US2009/000786 WO2009099661A2 (en) 2008-02-08 2009-02-06 A protective coating for a plasma processing chamber part and a method of use

Publications (2)

Publication Number Publication Date
CN102027574A true CN102027574A (zh) 2011-04-20
CN102027574B CN102027574B (zh) 2014-09-10

Family

ID=40938009

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980112463.4A Active CN102027574B (zh) 2008-02-08 2009-02-06 等离子体处理室部件的保护性涂层及其使用方法

Country Status (7)

Country Link
US (2) US8522716B2 (zh)
JP (2) JP5214743B2 (zh)
KR (2) KR101625516B1 (zh)
CN (1) CN102027574B (zh)
SG (1) SG188141A1 (zh)
TW (2) TWI480913B (zh)
WO (1) WO2009099661A2 (zh)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
CN103874315A (zh) * 2012-11-29 2014-06-18 格里高利·迪拉吉 具有移动转盘的等离子体产生装置及其使用方法
CN104025279A (zh) * 2011-11-23 2014-09-03 朗姆研究公司 具有射频带输入的外围射频供给及对称的射频返回
CN104143494A (zh) * 2013-05-07 2014-11-12 朗姆研究公司 等离子体暴露面有原位形成保护层的等离子体处理室部件
CN104968830A (zh) * 2013-01-31 2015-10-07 应用材料公司 具有可调整电极的沉积源
CN106298424A (zh) * 2016-10-10 2017-01-04 武汉华星光电技术有限公司 干刻蚀电极及刻蚀机
CN106298411A (zh) * 2015-06-25 2017-01-04 朗姆研究公司 延长聚合物元件寿命的抗等离子体的原子层沉积的涂层
CN106854619A (zh) * 2017-01-19 2017-06-16 西安交通大学 一种基于等离子体的交联装置、使用方法以及应用
CN107527785A (zh) * 2016-06-22 2017-12-29 朗姆研究公司 通过使用耦合环内的电极来控制边缘区域中的离子的方向性的系统和方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN109841476A (zh) * 2017-11-29 2019-06-04 东京毅力科创株式会社 半导体制造装置用的部件以及半导体制造装置
CN110416046A (zh) * 2018-04-27 2019-11-05 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
CN111326389A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326382A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111986975A (zh) * 2014-11-26 2020-11-24 应用材料公司 增进工艺均匀性的方法及系统
CN112309807A (zh) * 2019-08-02 2021-02-02 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112447475A (zh) * 2019-09-05 2021-03-05 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
CN113745081A (zh) * 2020-05-27 2021-12-03 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN114864365A (zh) * 2021-02-05 2022-08-05 凌嘉科技股份有限公司 基板制程设备
CN115881506A (zh) * 2023-03-02 2023-03-31 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5430136B2 (ja) * 2008-12-08 2014-02-26 電気化学工業株式会社 部材表面の改質方法。
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9520314B2 (en) 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
KR101359070B1 (ko) * 2009-03-03 2014-02-05 도쿄엘렉트론가부시키가이샤 탑재대 구조, 성막 장치 및 원료 회수 방법
KR20170125419A (ko) * 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US20110073257A1 (en) * 2009-09-28 2011-03-31 Rajinder Dhindsa Unitized confinement ring arrangements and methods thereof
JP2011086920A (ja) * 2009-10-14 2011-04-28 Greene Tweed Of Delaware Inc プラズマ耐性に優れた処理装置
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
US8529729B2 (en) * 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP5129848B2 (ja) * 2010-10-18 2013-01-30 東京エレクトロン株式会社 接合装置及び接合方法
CN102456567A (zh) * 2010-10-18 2012-05-16 中芯国际集成电路制造(上海)有限公司 接触孔的等离子体干法刻蚀方法
JP5767819B2 (ja) * 2011-02-02 2015-08-19 株式会社Ihi プラズマ処理装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
WO2013032232A2 (ko) * 2011-08-31 2013-03-07 주식회사 테스 기판 처리 장치, 이를 이용한 비정질 탄소막 형성 방법 및 반도체 소자의 갭필 방법
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG10201604037TA (en) * 2011-11-24 2016-07-28 Lam Res Corp Symmetric rf return path liner
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
WO2013130191A1 (en) 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8677586B2 (en) * 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
EP2654070A1 (fr) * 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
JP2013254901A (ja) 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
EP2784176B1 (en) 2013-03-28 2018-10-03 Applied Materials, Inc. Deposition platform for flexible substrates
US9502279B2 (en) * 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
TWI629918B (zh) * 2013-08-16 2018-07-11 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
JP2015162558A (ja) * 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
CN106898534B (zh) * 2015-12-21 2019-08-06 中微半导体设备(上海)股份有限公司 等离子体约束环、等离子体处理装置与基片处理方法
WO2017149738A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
JP6564946B2 (ja) * 2016-06-22 2019-08-21 株式会社アルバック プラズマ処理装置
FI126863B (en) 2016-06-23 2017-06-30 Beneq Oy Apparatus for treating particulate matter
JP6664298B2 (ja) 2016-09-09 2020-03-13 株式会社バルカー シール材
US10943808B2 (en) * 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US10199252B2 (en) * 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7141061B2 (ja) * 2018-12-06 2022-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11270870B2 (en) * 2019-04-02 2022-03-08 Applied Materials, Inc. Processing equipment component plating
CN113939894A (zh) * 2019-06-08 2022-01-14 应用材料公司 具有耐化学性表面的rf组件
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP7365912B2 (ja) * 2020-01-10 2023-10-20 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
KR20210120291A (ko) 2020-03-26 2021-10-07 삼성전자주식회사 포커스 링 및 이를 구비하는 기판 고정용 척 어셈블리와 플라즈마 처리장치
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
US11501957B2 (en) * 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
CN117355920A (zh) * 2021-04-01 2024-01-05 应用材料公司 用于使用等离子体形成薄膜的接地返回
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站
WO2024053395A1 (ja) * 2022-09-05 2024-03-14 東京エレクトロン株式会社 プラズマ処理装置で使用される部品、プラズマ処理装置で使用される部品の製造方法、及びプラズマ処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3723481A (en) * 1965-07-09 1973-03-27 Gen Electric Ablative coating compositions
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
JP2002151496A (ja) * 2000-05-03 2002-05-24 Applied Materials Inc 陰極に接地コンデンサを有する多重周波数プラズマチャンバ
CN1743501A (zh) * 2004-08-16 2006-03-08 应用材料股份有限公司 用于释放基材的方法及设备
CN1798867A (zh) * 2003-01-09 2006-07-05 微米技术股份有限公司 沉积室表面增强和最后得到的沉积室

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05163330A (ja) * 1991-12-16 1993-06-29 Dow Chem Nippon Kk エポキシ燐酸エステル樹脂、その製造方法、及びその樹脂を含む塗料組成物
JPH07201824A (ja) * 1993-12-29 1995-08-04 Tokyo Electron Ltd 処理装置
US5458162A (en) 1994-06-27 1995-10-17 Lockheed Missiles & Space Company, Inc. Passive intermodulation products (PIM) free antenna mesh
US5702387A (en) 1995-09-27 1997-12-30 Valleylab Inc Coated electrosurgical electrode
JPH11204293A (ja) * 1998-01-14 1999-07-30 Sony Corp プラズマ処理装置
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000114358A (ja) * 1998-10-05 2000-04-21 Tomoegawa Paper Co Ltd 静電チャック装置
US6070444A (en) 1999-03-31 2000-06-06 Sherwood Services Ag Method of mass manufacturing coated electrosurgical electrodes
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US20010046459A1 (en) 1999-09-21 2001-11-29 St. Onge Benedict B. High efficiency ozone generator
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
JP4311600B2 (ja) 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
JP2003007812A (ja) * 2001-06-27 2003-01-10 Komatsu Ltd 静電チャック及び半導体製造装置
US6540745B1 (en) 2001-05-01 2003-04-01 Aeromet Technologies, Inc. Coated medical devices
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20060002234A1 (en) 2004-06-30 2006-01-05 Lobe Henry J Anti-biofouling seismic streamer casing and method of manufacture
JP4458995B2 (ja) * 2004-09-10 2010-04-28 京セラ株式会社 ウェハ支持部材
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7147634B2 (en) 2005-05-12 2006-12-12 Orion Industries, Ltd. Electrosurgical electrode and method of manufacturing same
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4942471B2 (ja) * 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3723481A (en) * 1965-07-09 1973-03-27 Gen Electric Ablative coating compositions
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
JP2002151496A (ja) * 2000-05-03 2002-05-24 Applied Materials Inc 陰極に接地コンデンサを有する多重周波数プラズマチャンバ
CN1798867A (zh) * 2003-01-09 2006-07-05 微米技术股份有限公司 沉积室表面增强和最后得到的沉积室
CN1743501A (zh) * 2004-08-16 2006-03-08 应用材料股份有限公司 用于释放基材的方法及设备

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
CN104025279A (zh) * 2011-11-23 2014-09-03 朗姆研究公司 具有射频带输入的外围射频供给及对称的射频返回
CN104025279B (zh) * 2011-11-23 2017-03-08 朗姆研究公司 一种用于等离子体处理的卡盘组件和用于给静电卡盘组件供电的方法
CN103874315A (zh) * 2012-11-29 2014-06-18 格里高利·迪拉吉 具有移动转盘的等离子体产生装置及其使用方法
CN104968830A (zh) * 2013-01-31 2015-10-07 应用材料公司 具有可调整电极的沉积源
CN104968830B (zh) * 2013-01-31 2018-09-21 应用材料公司 具有可调整电极的沉积源
CN104143494B (zh) * 2013-05-07 2018-08-14 朗姆研究公司 等离子体暴露面有原位形成保护层的等离子体处理室部件
CN104143494A (zh) * 2013-05-07 2014-11-12 朗姆研究公司 等离子体暴露面有原位形成保护层的等离子体处理室部件
CN111986975A (zh) * 2014-11-26 2020-11-24 应用材料公司 增进工艺均匀性的方法及系统
CN106298411A (zh) * 2015-06-25 2017-01-04 朗姆研究公司 延长聚合物元件寿命的抗等离子体的原子层沉积的涂层
CN107527785A (zh) * 2016-06-22 2017-12-29 朗姆研究公司 通过使用耦合环内的电极来控制边缘区域中的离子的方向性的系统和方法
CN107527785B (zh) * 2016-06-22 2020-02-28 朗姆研究公司 通过使用耦合环内的电极来控制边缘区域中的离子的方向性的系统和方法
CN106298424A (zh) * 2016-10-10 2017-01-04 武汉华星光电技术有限公司 干刻蚀电极及刻蚀机
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN106854619A (zh) * 2017-01-19 2017-06-16 西安交通大学 一种基于等离子体的交联装置、使用方法以及应用
CN106854619B (zh) * 2017-01-19 2023-10-20 西安交通大学 一种基于等离子体的交联装置、使用方法以及应用
CN109841476A (zh) * 2017-11-29 2019-06-04 东京毅力科创株式会社 半导体制造装置用的部件以及半导体制造装置
CN110416046B (zh) * 2018-04-27 2022-03-11 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
CN110416046A (zh) * 2018-04-27 2019-11-05 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326382A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
US11670515B2 (en) 2018-12-17 2023-06-06 Advanced Micro-Fabrication Equipment Inc. China Capacitively coupled plasma etching apparatus
CN112309807A (zh) * 2019-08-02 2021-02-02 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112447475A (zh) * 2019-09-05 2021-03-05 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
CN113745081A (zh) * 2020-05-27 2021-12-03 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN113745081B (zh) * 2020-05-27 2024-03-12 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN114864365A (zh) * 2021-02-05 2022-08-05 凌嘉科技股份有限公司 基板制程设备
CN115881506A (zh) * 2023-03-02 2023-03-31 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Also Published As

Publication number Publication date
KR101577474B1 (ko) 2015-12-14
WO2009099661A2 (en) 2009-08-13
JP2013102236A (ja) 2013-05-23
KR20100122901A (ko) 2010-11-23
US20140065835A1 (en) 2014-03-06
TW200947494A (en) 2009-11-16
CN102027574B (zh) 2014-09-10
WO2009099661A3 (en) 2009-10-22
TWI480913B (zh) 2015-04-11
US20090200269A1 (en) 2009-08-13
TW201506978A (zh) 2015-02-16
SG188141A1 (en) 2013-03-28
JP5214743B2 (ja) 2013-06-19
JP2011511475A (ja) 2011-04-07
KR20150083142A (ko) 2015-07-16
KR101625516B1 (ko) 2016-05-30
US8522716B2 (en) 2013-09-03

Similar Documents

Publication Publication Date Title
CN102027574B (zh) 等离子体处理室部件的保护性涂层及其使用方法
US9412635B2 (en) Electrostatic chuck device
KR970003885B1 (ko) 에칭 방법 및 그 장치
US20100163188A1 (en) Mounting table structure and processing apparatus
US6693790B2 (en) Static electricity chuck apparatus and semiconductor producing apparatus provided with the static electricity chuck apparatus
KR20060041924A (ko) 높은 생산성의 플라즈마 프로세싱 챔버 및 입자 발생 방지
KR100508459B1 (ko) 정전 흡착 스테이지 및 기판 처리 장치
US20140355169A1 (en) Electrostatic chuck device
US20030030960A1 (en) Semiconductor wafer processing apparatus and method
JP2011523229A (ja) プラズマ処理装置のためのシャワーヘッド電極アセンブリ
JP2009065121A (ja) 拡散装置支持体
US11328948B2 (en) Electrostatic chuck device and method of manufacturing electrostatic chuck device
KR100995203B1 (ko) 플라즈마 처리 장치내 구조체 및 플라즈마 처리 장치
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
US10957573B2 (en) Electrostatic chuck device including a heating member
JP2004088063A (ja) ウエハ処理装置、ウエハステージおよびウエハ処理方法
KR100886120B1 (ko) 정전 흡착 스테이지 및 기판 처리 장치
US8052364B2 (en) Coupling member and plasma processing apparatus
JP2004087869A (ja) ウエハ処理装置、ウエハステージおよびウエハ処理方法
US10593585B2 (en) Electrostatic chuck device including a heating member
CN110942969B (zh) 一种气体喷淋头组件及其等离子体处理设备
WO2020251809A1 (en) Coated o-ring for protecting an electro- static chuck in a plasma processing chamber

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant