JP2002151496A - 陰極に接地コンデンサを有する多重周波数プラズマチャンバ - Google Patents

陰極に接地コンデンサを有する多重周波数プラズマチャンバ

Info

Publication number
JP2002151496A
JP2002151496A JP2001136357A JP2001136357A JP2002151496A JP 2002151496 A JP2002151496 A JP 2002151496A JP 2001136357 A JP2001136357 A JP 2001136357A JP 2001136357 A JP2001136357 A JP 2001136357A JP 2002151496 A JP2002151496 A JP 2002151496A
Authority
JP
Japan
Prior art keywords
electrode
capacitor
plasma
capacitance
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001136357A
Other languages
English (en)
Other versions
JP2002151496A5 (ja
JP4817528B2 (ja
Inventor
San Shen
サン シェン
C Olsen Jeff
シー. オルセン ジェフ
Sanjay Yadav
ヤダウ サンジェイ
Quanyuan Shang
シャン クワンイェン
Kam S Law
エス. ロウ カム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002151496A publication Critical patent/JP2002151496A/ja
Publication of JP2002151496A5 publication Critical patent/JP2002151496A5/ja
Application granted granted Critical
Publication of JP4817528B2 publication Critical patent/JP4817528B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 プラズマチャンバ内の第1の電極および第2
の電極がそれぞれ低周波数RF電源および高周波数RF
電源に接続される、電子ワークピースを製造するための
装置および方法を提供する。 【解決手段】 少なくとも1つのコンデンサ50〜54
が第1の電極と電気接地との間に接続される。1つ以上
のコンデンサによって、高周波RF出力が、2電極1
2、20間すぐの領域の外側にあるいかなるプラズマと
も結合することが減少または排除される。結果的に、発
明は2電極間の領域にRF出力をより集中させることに
よって、プラズマ処理能力を向上することが可能であ
る。

Description

【発明の詳細な説明】
【0001】
【発明の分野】本発明は一般に、陽極と陰極との電極間
に印加されるRF電力によって中でプラズマが励起され
る、電子基板製造用のプラズマチャンバに関する。より
具体的には、本発明は、陽極と陰極とが異なる周波数で
RF電力を受け、かつ、コンデンサが陰極電極と電気接
地との間で接続されているようなチャンバに関する。
【0002】
【発明の背景】フラットパネル・ディスプレイや集積回
路などの電子デバイスは一般に、基板上に層が堆積され
て、その堆積された材料が所望のパターンにエッチング
される一連の処理ステップにより製造される。処理ステ
ップは普通、プラズマ化学気相成長(CVD)処理とプ
ラズマエッチング処理とを含む。
【0003】プラズマ処理には、プラズマチャンバと呼
ばれる真空チャンバに処理ガス混合体を供給するステッ
プと、次に電力または電磁力を印加して処理ガスをプラ
ズマ状態に励起するステップとが必要とされる。プラズ
マはガス混合体を、所望の堆積処理またはエッチング処
理を行なうイオン種に分解する。
【0004】容量励起されたプロセスチャンバでは、陽
極と陰極との電極間に印加されたRF電力によってプラ
ズマが励起される。一般に基板は、陰極電極として機能
するペデスタルまたはサセプタ上に設置され、陽極電極
が基板から短距離かつ平行に設置される。
【0005】一般に陽極電極はまた、チャンバに処理ガ
ス混合体を供給するガス分配プレートとしても機能す
る。陽極電極は、処理ガス混合体がそこを通って陽極と
陰極との間の隙間に流れる、数百または数千の開口部に
よって貫通している。開口部は、基板に隣接する処理ガ
ス混合体の空間均一性を最大にするよう、ガス分配プレ
ートの表面を隔てて間隔を開けられている。そのような
ガス分配プレートは、一般に「シャワー・ヘッド」と呼
ばれ、1989年8月8日にChangらに発行され、
譲受人に譲渡された米国特許第4,854,263号の
中に記述されている。
【0006】プラズマのRF励起は、2電極のうち1つ
(すなわち陽極または陰極のいずれか)をRF電源の出
力へ接続し、別の電極を電気接地に接続することにより
実現する。しかし、多くの通常使用されるプラズマCV
Dおよびエッチング処理では、陽極電極に接続された高
周波RF電源と陰極電極に接続された低周波RF電源
(またはその逆)によって、2つの異なる周波数で同時
にRF励起することが求められる。
【0007】陰極電極(サセプタ)と陽極電極(ガス分
配プレート)とを接続して電源を分離し、いずれの電極
も電気的に接地させないようにすると、これら電極の1
つと、電気的に接地されたチャンバ壁といった電気的に
接地されたチャンバ要素との間でRF電力が結合するこ
とがあり、そのため処理能力が低下する危険性があるこ
とを我々は見出した。これは、RF電力が所望のプラズ
マCVD処理またはエッチング処理を行なう必要のあ
る、サセプタとガス分配プレート間の領域からRF電力
を外してしまう。窒化シリコンや酸化シリコンなどの膜
を堆積するCVD処理の場合、サセプタ背後またはサセ
プタに並ぶプラズマにこのようなRF電力の進路変更が
起こると、引張り膜応力、低密度、および過剰な水素含
量など、望ましくない特性を持つ膜が作り出される危険
性があることを我々は見出した。
【0008】
【発明の概要】本発明は、チャンバ内の2電極間に設置
される電子ワークピースまたは基板を作成するためのプ
ラズマチャンバ装置および方法である。低周波RF電源
が第1の電極に電力を供給し、高周波RF電源が第2の
電極に電力を供給する。
【0009】従来の設計とは異なり、本発明はさらに第
1の電極と電気接地との間に接続された1つ以上のコン
デンサを含む。1つ以上のコンデンサは、高周波RF電
力と、2電極が直接はさむ領域の外にあるいかなるプラ
ズマとの結合も縮小または排除できる。その結果、本発
明は2電極間の領域でRF電力をより集中させることに
より、プラズマ処理の能力を向上することが可能であ
る。特にCVD処理において、本発明は、高密度、引張
り応力ではなくて望ましい程度の圧縮応力、およびその
他の望ましい膜特性を有する膜の堆積を可能にする。
【0010】本発明は、ワークピースまたは基板が、第
1の電極上またはそれに隣接して設置された、フラット
パネル・ディスプレイ製造用ガラス基板などの誘電材料
であるときに、特に有益である。半導体基板と比較し
て、誘電基板は第1の電極とプラズマ体との間により大
きなRFインピーダンスを介在させるので、第1の電極
に並ぶかまたは背後かの選択的RF電流路を通ってRF
電力が結合されることにより、二次プラズマ体を形成す
る危険性が増加する。
【0011】本発明はまた、フラットパネル・ディスプ
レイの製造に使用される550mm×650mm以上の
基板など、ワークピースが非常に大きい場合に特に有益
である。より大きな基板に対して、本発明の好適な実施
例では、第1の電極の周囲または裏面を覆って分布する
接触域にそれぞれ接続された複数のコンデンサを採用す
る。
【0012】
【好適な実施例の詳細な説明】
【プラズマチャンバ】図1は、本発明にしたがって陰極
電極と接地との間に接続されたコンデンサを含むプラズ
マチャンバを表わす。本発明の詳細を記述する前に、従
来のチャンバの特徴について説明する。
【0013】本発明は、プラズマチャンバ内で、シリコ
ン・ウェーハやフラットパネル・ディスプレイ用のガラ
ス基板など、任意の種類の電子基板またはワークピース
を作成または処理するのに有用である。例示されている
チャンバは、ガラス基板上で膜のプラズマ化学気相成長
(CVD)が実施されるよう設計されている。しかしな
がら本発明はまた、チャンバ内で、プラズマエッチング
など、その他のプラズマ支援処理を実施するのにも有用
である。
【0014】プラズマチャンバまたは真空チャンバは、
チャンバ内部の側面および底面を囲み、また電気的に接
地されたハウジングまたは壁10を有する。金属ペデス
タルまたはサセプタ12は陰極電極として働き、ワーク
ピースまたは基板14を支持する前面13を有する。サ
セプタの反対面を、その背面15と呼ぶ。
【0015】選択的に、ワークピースは直接サセプタに
接する必要はなく、たとえば図示されない複数のリフト
・ピンによって、サセプタの前面から短距離に保持され
る。ワークピースを所定位置またはサセプタの前面近く
に保持するためにいかなる手段が使用されても、我々は
「チャック」という用語を用いて表わす。ワークピース
が単純にサセプタ上に置かれる場合、サセプタ自身がチ
ャックである。より一般的には、ワークピースは締付け
リングまたはワークピース周囲を覆って置かれる長方形
シャドウ・フレームによって、あるいはサセプタに埋め
込まれた静電チャックによってサセプタ上に保持され
る。
【0016】中空の円筒状金属導管または軸16が、サ
セプタの中央から下に向って延び、チャンバの底壁を貫
いて通っている。導管または軸の主な働きは、サセプタ
内に埋め込まれる電気ヒータおよび/または静電チャッ
クに電力を供給する配線を囲むことである。
【0017】例示されている好適実施例では、サセプタ
中央軸16が絶縁体を介することなく直接サセプタに取
りつけられ、したがって軸はRF高圧(RF hot)であり、
LF電源をサセプタに接続するRF伝送線の一部として
機能する。軸は以下で説明される円筒型誘電体81によ
って、電気的に接地されたチャンバ壁から電気的に絶縁
されている。図示されない代替設計としては、軸を電気
的に接地し、軸とサセプタとの間の絶縁スペーサによっ
て軸をサセプタから絶縁するものがあろう。その場合、
RF伝送線は中空軸の中に備えられ、LF電源をサセプ
タに接続する。
【0018】チャンバ内部は上端において、その中央に
ガス・インレット・マニホールドが取り付けられた取外
し可能なリッド18により囲まれている。ガス・インレ
ット・マニホールドは、穴の開けられたガス分配プレー
トすなわちシャワーヘッド20、インレット・マニホー
ルド側壁22、および受け板24を含む。ガス分配プレ
ートは、数百または数千もの開口部に穴が開けられ、ま
た、導電性材料で構成されるので、陽極電極として機能
することができる。ガス・インレット・マニホールドが
RF電力を受けられるようにするため、ガス・インレッ
ト・マニホールド20〜24は絶縁スペーサ26によっ
て、電気的に接地されたリッド18およびチャンバ壁1
0から絶縁されている。
【0019】処理ガスは受け板内のガス注入開口部28
を通じてガス・インレット・マニホールドへ供給され
る。その後、ガスはガス分配プレート中の開口部を通じ
て、ガス分配プレートとサセプタ12との間のチャンバ
内部の領域へ入るように流れる。
【0020】RF電力は、2つの異なるRF周波数で動
作する2つのRF電源によってチャンバ内のガスに供給
される。HF電源と呼ばれる高周波RF電源30用の典
型的な動作周波数は13MHzである。「バイアス」ま
たはLF電源と呼ばれる低周波RF電源32用の典型的
な動作周波数は400kHzである。
【0021】各電源は、1つが電気的に接地されている
2つの出力端子間に出力電圧を発生する。各電源の接地
出力端子は、電気的に接地されたチャンバ壁10に接続
される。例示されているCVDチャンバでは、LF電源
32の接地されていない出力端子は、陰極電極として機
能するサセプタ12に電気的に接続される。HF電源3
0の接地されていない、すなわち「高圧の」出力端子
は、陽極電極として機能するガス分配プレート20に電
気的に接続される。(図1に示されるように、HF電源
をガス分配プレート20に電気的に接続するRFケーブ
ルは受け板24に物理的に接続することができ、受け板
24は導電性マニホールド側壁22を通じてガス分配プ
レートに電気的に接続される。)
【0022】いくつかの半導体製造工程、特にエッチン
グ工程では、例示されているCVDチャンバに関してH
F電源およびLF電源が交換される。すなわちHF電源
およびLF電源は、それぞれ陰極電極(サセプタ12)
および陽極電極(ガス分配プレート20)に接続され
る。
【0023】LF電源32とそのインピーダンス整合ネ
ットワークの設計によって、LF電源と陰極電極12と
の間に低域通過フィルタ33を直列に接続することが必
要な場合がある。そのような低域通過フィルタがない
と、HF電力がLF電源の整合ネットワークを乱したり
或いは整合ネットワークに摂動を発生させたりして、イ
ンピーダンス整合の達成を妨げることがある。低域通過
フィルタはHF電力がLF電源に結合されることを阻止
するよう、HF電源およびLF電源の周波数間に遮断周
波数を持たなくてはならない。
【0024】LF電力がHF電源に結合されることを阻
止するために、HF電源と陽極電極との間に高域通過フ
ィルタ31を挿入することも出来る。高域通過フィルタ
は、以下に説明するCVD処理のように、LF電力レベ
ルがHF電力レベルよりもはるかに低い場合には必要な
い。
【0025】陰極電極(サセプタ12)と陽極電極(ガ
ス分配プレート20)との間に印加されるRF電力は、
2電極間の領域にその領域中のガスをプラズマ状態に励
起する電磁場を発生する。プラズマは処理ガス混合体か
ら、ワークピース上の露出した材料と反応して所望の堆
積またはエッチング処理を行なう反応種を生じる。
【0026】図示されない真空ポンプがチャンバ内を望
ましい真空レベルに維持し、環状排気スリット36を通
じて処理ガスや反応生成物をチャンバから、次に環状排
気プレナム38内へ、次いで図示されない排気導管を通
って、ポンプへ排気する。
【0027】(この特許明細書全体を通じて、「環状」
という用語は円形の周囲を有する物体に限らず、2つの
同軸の閉じた経路によって境界付けられたいかなる物体
をも意味するものとする。例示されたチャンバは長方形
基板を作成するよう意図されているため長方形の断面を
有しており、したがって環状排気スリットや環状排気プ
レナムは長方形の内周囲および外周囲を有する。)
【0028】電動リフト機構80〜88がサセプタを低
位置(図示されず)に移動し、同時にワークピースはチ
ャンバの中へ、またはチャンバの外へ出されて上位置へ
移送され(図1)、同時にプラズマ処理がワークピース
上で実施される。特にサセプタ12は、セラミック支持
棚80によってその中央に支持される。支持棚は、サセ
プタ軸16を取り囲みチャンバ底壁の開口部を通じて下
へ向って延びる円筒形中央部分81を含む。支持棚の中
央部分81は可動リフト・プラットフォーム82の上に
置かれる。図示されないモータが、リフト・プラットフ
ォームを固定床板84に対して制御可能に上下移動さ
せ、それによってサセプタ12とサセプタ軸16とを上
昇および降下させる。
【0029】ベローズ86及びOリング88は、サセプ
タ軸16の垂直運動に合わせて、軸が延びているチャン
バ壁の開口部の周囲に真空シールを供する。
【0030】上述されるチャンバ要素はすべて、チャン
バ内で行なわれる半導体製造処理を汚染せずに且つ処理
ガスによる腐食に耐える材料によって構成されなくては
ならない。陽極酸化アルミニウムは、セラミック・スペ
ーサ26およびリフト機構のセラミック要素80〜84
以外の、すべての要素に対して望ましい材料である。
【0031】上述のプラズマチャンバの全部分は従来の
ものである。従来プラズマCVDおよびエッチングチャ
ンバの設計および動作は、以下の譲受人に譲渡された米
国特許に説明されており、そのそれぞれの全内容がここ
に、この特許明細書の中で参照により組み込まれる。す
なわち、1989年8月8日発行のChangらに対す
る米国特許第4,854,263号、1994年10月
18日発行のNguyenらに対する米国特許第5,3
56,722号、1998年5月26日発行のWang
らに対する米国特許第5,755,886号、1998
年6月30日発行のChangらに対する米国特許第
5,773,100号、1998年12月1日発行のW
hiteらに対する米国特許第5,844,205号、
および2000年2月15日発行のLawらに対する米
国特許第6,024,044号である。
【0032】
【サセプタと接地間に接続されたコンデンサ】従来のプ
ラズマチャンバと異なり、我々のものはサセプタ12
(すなわち陰極電極)と電気接地との間に接続された1
つ以上のコンデンサ50〜54を含む(図1および2参
照)。これらコンデンサは、RF電力が陰極背後または
陰極周囲外でいかなるプラズマと結合することも縮小、
または排除する。言い換えれば、コンデンサはそのよう
な不要なプラズマの強度を減少し、好ましくはゼロにす
る。これによって、処理ガスが中に分配される領域、す
なわちサセプタ(陰極電極)とガス分配プレート20
(陽極電極)との間の領域で、RF電力をより集中する
ことで、チャンバ内で実施される化学処理の能力が向上
する。我々はまた、コンデンサがそのような領域内にお
けるプラズマの空間均一性を向上させて、低プラズマ濃
度または高プラズマ濃度の局所領域を最小化するか或い
は取り除くことができ、それによってワークピース上で
実施される堆積またはエッチング処理の空間均一性が向
上され得ることを見出した。特に誘電CVD処理では、
この向上されたRF電力濃度および均一性によって、引
張り応力ではなく望ましい程度の圧縮応力、高密度、お
よびその他の望ましい膜特性を有する膜の堆積が可能と
なる。
【0033】陰極電極と接地との間にコンデンサのない
従来のプラズマチャンバでは、HF信号の周波数での陰
極から接地への経路のみがLF電源32の出力インピー
ダンスであった。我々の発明では、コンデンサ50〜5
4が、HF電源30の周波数で低いインピーダンスを有
する陰極から接地への経路を提供する。我々の発明は特
定の動作原理に限定されるわけではないが、コンデンサ
50〜54によりもたらされる、接地へのこの低インピ
ーダンスの経路が、陰極とチャンバ壁10の近接領域と
の間でHF電圧を減少し、それによってサセプタと、陰
極背後または陰極周囲外のいかなるプラズマとの間のH
F電力の結合をも、縮小または排除すると我々は考え
る。
【0034】本発明は、ワークピースまたは基板14が
フラットパネル・ディスプレイ製造用のガラス基板など
の誘電材料である場合に、特に有益である。具体的に
は、そのようなワークピースは一般に、ガラスなどの誘
電基板上に形成された多数の半導体層、導体層、および
誘電層から構成される。したがってワークピースの大部
分の材料は誘電物質である。半導体基板と比較して、誘
電基板はより大きなRFインピーダンスを、陰極電極1
2とプラズマ体との間に介在させるので、陰極電極に並
ぶかまたはその背後かの選択的RF電流路を通ってRF
電力が結合されることにより、二次プラズマ体を形成す
る危険性が増加する。
【0035】その電気インピーダンスという点から広く
定義すれば、サセプタと接地との間に接続されるコンデ
ンサ50〜54の複合静電容量値は、HF電源30の周
波数でのサセプタと接地間の電気インピーダンスを、静
電容量がない場合の前述のインピーダンスと比較して、
実質的に減少させるのに充分なほど大きくなくてはなら
ない。好ましくは、複合静電容量は、HF周波数でサセ
プタと接地との間に事実上直接に電気接続を提供するの
に充分なほど大きい値であるべきである。
【0036】陰極電極と陽極電極間の望ましいプラズマ
への効果という観点から広く定義すれば、静電容量は、
前述のプラズマの強度が、静電容量がない時にその強度
がとる値に比べて実質的に増加するのに充分なほど、大
きくなくてはならない。
【0037】あるいは、不要なプラズマへのその効果と
いう観点から広く定義すれば、静電容量は陰極電極の背
後または陰極電極の周囲外の、いかなるプラズマの強度
も、静電容量がない時の前述のプラズマ強度に比較して
実質的に減少するだけ、充分に大きくなくてはならな
い。
【0038】いずれにしても、複合静電容量50〜54
は、LF電源32の周波数で陰極12と接地との間の電
気インピーダンスが非常に低くなり、LF電源32とそ
のインピーダンス整合ネットワークとが実質的にRF電
力をサセプタと結合することが出来ないほど、大きくす
べきではない。言いかえれば、静電容量は、LF周波数
でサセプタを接地に電気的に短絡するほど大きくすべき
ではない。
【0039】さらに我々は、陰極電極と接地との間に接
続されたコンデンサの複合静電容量値が一般に、陰極電
極と陽極電極とのすぐ間の領域におけるプラズマ濃度の
空間均一性を最大にする最適値を持つことを見出した。
以下に説明されるように、この最適な静電容量値は好ま
しくは、所望の処理能力パラメータを最適化し、かつプ
ラズマ不均一性を最小にするよう、すなわち比較的高濃
度または低濃度のプラズマが局所集中する領域を最小に
するよう、経験的に決定されるべきである。
【0040】複合静電容量50〜54が、LF電源の周
波数よりもHF電源の周波数のほうでより十分に低いイ
ンピーダンスを有することができるように、該2つの電
源の周波数は少なくとも2倍異なっていることが好まし
く、少なくとも10倍異なっていることがより好まし
い。
【0041】我々の、発明の本好適実施例は、電気接地
とサセプタ中央との間に接続されたコンデンサ50と、
サセプタの背面15の四隅各々と電気接地との間に接続
される追加の4つのコンデンサ51〜54とを含む。5
つのコンデンサのそれぞれは、好ましくは柔軟かつ導電
性のそれぞれのストラップ60〜64によってサセプタ
に接続される(図1〜2を参照)。導電性ストラップは
先述のように、軸およびサセプタの垂直移動に対応する
ために柔軟である。たとえば各ストラップは、柔軟で、
軸およびサセプタの全移動範囲に対応するだけ充分に薄
くて長い、曲げやすい金属のシートまたはバンドであっ
てよい。我々の試作例では、各ストラップは約0.5イ
ンチ幅、1/16インチ厚さのアルミニウム帯であっ
た。
【0042】すべてのコンデンサは高電力RFに使用す
るために設計されたセラミックタイプであり、コンデン
サ端子のネジ山を通してネジによって電気接続されてい
る。各隅のコンデンサ51〜54の1端子はチャンバの
電気的に接地された底壁にボルトで留められ、それによ
って各コンデンサは、処理ガスに露出されるコンデンサ
の表面領域を最小にするためにチャンバ壁に接触する。
各隅のコンデンサの各端子は、サセプタの背面15にボ
ルトまたはクランプで固定された対応する金属ストラッ
プ61〜64にボルト締めされる。我々の試作例では、
湾曲した側部を持つ4つのアルミニウムのブロック66
がそれぞれサセプタの4つの隅にボルトで固定され、各
金属ストラップ61〜64がサセプタとブロックの1つ
との間に挟まれている。ボルトは、ブロックが金属スト
ラップをサセプタにしっかりと固定するように締めつけ
られる。
【0043】4本の柔軟かつ導電性のストラップ61〜
64が取り付けられたサセプタ12の4隅の各々に低イ
ンピーダンスの接触域を提供するために、我々はアルミ
ニウムサセプタを陽極酸化する前に接触域をマスキング
し、それによって4隅のそれぞれの接触域にきれいなア
ルミニウムが露出された。図2は4本のストラップ61
〜64がサセプタの裏面に取りつけられる接触域を表わ
す。4本のストラップに接続された4つのコンデンサ5
1〜54が概略的に示される。図2はまた、以下に説明
するように、柔軟かつ導電性のストラップ60と中央軸
16とを通じてサセプタの中央に接続された可変コンデ
ンサ50を概略的に示している。
【0044】別の方法として、ストラップをサセプタの
背面15に接続する代わりに、ストラップを4隅のそれ
ぞれに近いサセプタの周囲面19に接続することも出来
る。
【0045】別の可能な実施例は、4つのコンデンサ5
1〜54の代わりに、1つのコンデンサのみを、電気接
地されたチャンバ壁にボルト締めすることであろう。そ
の1つのコンデンサは、サセプタの各4隅に接続する4
本のストラップ61〜64の各々に接続される。
【0046】上記のように、サセプタ支持軸16はいか
なる絶縁体も介在させずにサセプタに直接に取り付けら
れ、したがって軸はRF高圧であり、LF電源をサセプ
タに接続するRF伝送線の役割を果たす。したがって中
央コンデンサ50は、コンデンサを軸に接続することに
よって事実上サセプタの中央に接続することが出来る。
さらに軸の接続は、チャンバ真空の外となるように、真
空シール(ベローズ86およびOリング88)下方の軸
の下部に対して成されてもよい。このことが、中央コン
デンサをチャンバ壁の裏面上に、あるいはチャンバ内部
の真空の外にあるその他の電気的接地された要素に、設
置することを可能にする。チャンバ内プラズマへの中央
コンデンサのいかなる露出も避けることが望ましく、そ
れは中央コンデンサに大きな可変コンデンサを使用する
ことを促進する。軸の垂直移動に対応するために、中央
コンデンサは、その他のコンデンサをサセプタに接続す
るストラップ61〜64に類似の、柔軟な金属ストラッ
プ60を使用して軸に接続されることが望ましい。
【0047】発明はまた、中央コンデンサ50のみによ
り、隅のコンデンサ51〜54なしで実施されてもよ
く、あるいは中央コンデンサなしで隅のコンデンサのみ
により実施されてもよい。隅の(または周囲の)コンデ
ンサと、サセプタ中央または中央付近に接続されるコン
デンサとの間の比は、ワークピース14に実施される堆
積処理またはエッチング処理の空間均一性に影響する。
ワークピースの幅または表面積が大きくなるほど、ワー
クピースに実施される半導体製造処理の空間均一性の所
定レベル達成に必要であると予想されるコンデンサの数
が増える。我々が試験した550mm×650mmのサ
セプタよりも大きいサセプタに対しては、サセプタ周囲
の周りに空間的に分布する点に、あるいはサセプタの背
面を覆って空間的に分布するその他の点にさえも、コン
デンサを追加して接続することが望ましい。
【0048】HF電源およびLF電源の周波数がそれぞ
れ13.56MHzおよび400kHzであった我々の
好適な実施例で、我々はそれぞれ150pfから300
pfの3つまたは4つの隅コンデンサと、300pfか
ら1700pfの範囲の中央コンデンサとで良好な結果
を得た。すなわち、複合静電容量は750pfから30
00pfの範囲であった。
【0049】好ましくは、LF電源32は、プラズマチ
ャンバ内でアークを起こす危険性がある出力の急上昇を
防ぐために、最初に電源が入れられたときに(負荷出力
ではなく)順出力をゆっくりと上昇するようにプログラ
ムされた電源レギュレータを含むべきである。
【0050】比較的高いRF出力レベルでは、プラズマ
の非均一性、すなわちホットスポットなどの、比較的低
濃度または高濃度のプラズマが局所集中する領域を防ぐ
よう、プラズマ濃度の空間均一性を最大にする最適なコ
ンデンサ値を選択することがより重要であろう。たとえ
ば、2800ワット〜4500ワット範囲の2つの電源
からのRF出力を使用する試験で、我々は400pf〜
1700pfの可変コンデンサであった中央コンデンサ
50の値を調節することによって、複合静電容量を調節
した。我々は、あまりにも低い静電容量は、サセプタ支
持軸の底付近またはシャワーヘッド20の隅付近のプラ
ズマに、明らかに目に見えるホットスポットを生じる可
能性があることを見出した。逆に我々は、あまりにも高
い静電容量は、チャンバの底付近または隅のコンデンサ
に接続された接地ストラップ55付近の、その他の領域
のプラズマにホットスポットを生じる可能性があること
を見出した。
【0051】我々は、図1に示すプラズマチャンバで、
通常フラットパネル・ディスプレイを作成するのに使用
されるタイプの550mm×650mmの長方形ガラス
基板上にシリコン窒化誘電膜を堆積する別の従来のCV
D処理を用いて、本発明を試験した。HF電源およびL
F電源の周波数はそれぞれ、13.56MHzおよび4
00kHzであった。処理ガス流量はSiH4が400
sccm、NH3が1400sccm、およびN2が40
00sccmであり、チャンバ圧力は1.5〜1.8T
orrであった。
【0052】隅のコンデンサ51〜54の各々は150
pfであり、我々は中央軸コンデンサ50の4つの異な
る値を試験した。2つの電源によって供給される全RF
電力は2800Wであり、それは2400ワットHFと
400ワットLFであるか、或いは2600ワットHF
と200ワットLFのいずれかであった。
【0053】それぞれ中央コンデンサが300pf、6
00pf、および900pfの別々の3試験で、堆積速
度は1460Å/minから2210Å/minへと次
第に増加し、ウェットエッチング速度は893Å/mi
nから375Å/minへと次第に減少し、膜応力は+
2.6×109(引張)dyne/cm2から−4.3×
109(圧縮)dyne/cm2へと変化した。基板周囲
の外側20mmを除き、膜厚均一性は最初の2つの場合
では14%、最後の場合では4%であった。よって、9
00pf中央コンデンサが最良の結果を生んだ。
【0054】同じ一連の試験で、中央コンデンサをさら
に1200pfまで増加したが、4つの隅コンデンサの
うち1つを取り除いた。意外にも、試験結果の多くが3
00pfの中央コンデンサの結果よりも悪いものであっ
た。堆積速度は1160Å/minまで落ち、ウェット
エッチング速度は1295Å/minまで増加し、応力
は+3.65×109dyne/cm2まで増加した。こ
の試験結果によって、総静電容量の最適値と共に、中央
コンデンサ静電容量の、周辺(たとえば隅)のコンデン
サ静電容量に対する最適比も求める経験的試験の実施が
望ましいことが例証された。
【0055】実際には、プラズマ・インピーダンスはR
F電力、チャンバ圧力、処理ガス流量、およびチャンバ
要素の寸法および形状などの処理パラメータの関数とし
て大きく変化する。したがって、陰極電極と接地との間
に接続される複合静電容量の最適値は経験的に選択され
るべきである。さらに、堆積またはエッチングされる膜
の厚さが処理中に次第に増加または減少し、またプラズ
マ中の反応物および反応副産物の割合も処理中に変化し
得るため、プラズマ・インピーダンスは、ワークピース
上への堆積またはエッチング処理の実施中に変化する。
したがって、いくつかの処理では、任意のワークピース
上で処理を実施中に、コンデンサ50〜54のうちの1
つ以上を調節することによって、サセプタと接地間の総
静電容量の値を段々と調節することが望ましいであろ
う。
【0056】たとえば、上述のシリコン窒化物CVD処
理の試験で、中央コンデンサについて試験したすべての
固定値に対して、プラズマがかなり非均一であってプラ
ズマ中にホットスポットが目に見えて明らかであるよう
な、CVD処理実施中の時間間隔があることを、我々は
見出した。したがって、このCVD処理に関しては、堆
積シリコン窒化膜の厚さがCVD処理中に次第に増加す
るのにつれて、コンデンサの少なくとも1つの値を漸次
調節することが好ましかったと考える。
【0057】したがって、我々の好適実施例は、陰極電
極と電気接地との間の総静電容量50〜54を集合的に
決定する1つ以上の可変コンデンサの設定を制御するサ
ーボ・モータに電気制御信号を定期的に送る、プログラ
ム可能なマイクロプロセッサなどの制御回路70を含
む。上記のように、我々は5つのコンデンサのうち1つ
のみ、すなわち400pf〜1700pfの可変中央コ
ンデンサ50を調節することによって、総静電容量を調
節することが最も容易であると考える。制御装置は、堆
積時間の関数か或いはプラズマ処理によって堆積される
(あるいはエッチングされる)層の厚さの関数として、
中央コンデンサの静電容量値を漸次変化させるよう、モ
ータに命令を出す。
【0058】静電容量を変化させる量は、プラズマ・ホ
ットスポットを避け、堆積された膜の品質が最高になる
ように経験的に決定されることが望ましい。特に、時間
または層厚さの関数としての最適静電容量値は、プラズ
マ中で目に見えて明らかであるか或いは検出可能なホッ
トスポットの発生を避けるのに必要な範囲で静電容量を
手動で調節することにより、堆積またはエッチング処理
の実施中に経験的に決定することが出来る。経験的に決
定された一連の静電容量値は、次に制御装置70中にプ
ログラムステップとして格納することが出来る。
【0059】あるいは堆積またはエッチング処理の実施
中、プラズマのRFインピーダンスを可能な限り一定に
するように、静電容量を調節することも出来る。プラズ
マ・インピーダンスは2つのRF電源のうちの1つの出
力における負荷インピーダンスの測定から推論すること
が出来る。
【0060】例示されたCVDチャンバでは、低周波R
F電源32と接地コンデンサ50〜54とが、ワークピ
ース14が上に設置されるサセプタ電極12に接続され
ている。しかし、いくつかのエッチングチャンバなどプ
ラズマチャンバの中には、高周波電源30が、上にワー
クピースが設置されるサセプタに接続され、低周波電源
は陽極電極20に接続されるものがある。そのような場
合、1つ以上のコンデンサ50〜54は、電気接地と、
陽極電極、すなわち低周波電源が接続される電極との間
に接続されるであろう。
【図面の簡単な説明】
【図1】本発明による、接地コンデンサを含むプラズマ
・チャンバの部分略側断面図である。
【図2】コンデンサが接続される接触域を表わすサセプ
タの部分略下面図である。
【符号の説明】
10 チャンバ壁 12 サセプタ 13 サセプタの前面 14 基板(ガラス) 15 サセプタの背面 16 軸、サセプタ 18 リッド 20 シャワーヘッド 22 インレット・マニホールド側壁 24 インレット・マニホールド受け板 26 インレット・マニホールド周囲の絶縁スペーサ 28 ガス注入開口部 30 HF電源 31 高域通過フィルタ 32 LF電源 33 低域通過フィルタ 36 排気スリット 38 排気プレナム 50 コンデンサ、軸 51〜54 コンデンサ、隅 60〜64 接地ストラップ、金属 66 締め付けブロック 70 CVD中にバイアス電力を漸次的に変化するた
めの制御装置 80 サセプタ支持棚 81 支持棚の円筒型部 82 可動リフト・プラットフォーム 84 リフト基部 86 ベローズ 88 Oリング
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シェン サン アメリカ合衆国, カリフォルニア州, フレモント, ラーウィック ストリート 42745 (72)発明者 ジェフ シー. オルセン アメリカ合衆国, カリフォルニア州, ロス ガトス, エル ケイジョン ウェ イ 239 (72)発明者 サンジェイ ヤダウ アメリカ合衆国, カリフォルニア州, レッドウッド シティ, 15ティーエイチ アヴェニュー 911 (72)発明者 クワンイェン シャン アメリカ合衆国, カリフォルニア州, サラトガ, キャニオン ヴュー ドライ ヴ 21090 (72)発明者 カム エス. ロウ アメリカ合衆国, カリフォルニア州, ユニオン シティ, リヴィエラ ドライ ヴ 461 Fターム(参考) 4G075 AA24 AA30 AA62 BA05 BC04 BC06 BD14 CA25 CA47 EB42 EC21 4K030 FA03 JA18 KA14 KA30 LA15 LA18 5F004 AA01 BA04 BB11 BB13 BD04 5F045 AA08 AB33 AC01 AC12 AC15 BB02 DP03 DQ10 EB02 EF05 EH07 EH14 EH20

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 電子ワークピースを製造する装置であっ
    て、前記装置は:プラズマチャンバと;プラズマチャン
    バ内に取り付けられる第1の電極および第2の電極と;
    第1の電極と第2の電極との間に電子ワークピースを位
    置決めするチャックと;第1の電極に、第1の周波数を
    持つ電気信号が供給されるように接続される低周波電源
    と;第2の電極に、第1の周波数よりも実質的に高い第
    2の周波数を持つ電気信号が供給されるように接続され
    る高周波電源と;第1の電極と電気接地との間に接続さ
    れる少なくとも1つのコンデンサと、を含む、電子ワー
    クピースを製造する装置。
  2. 【請求項2】 第1の電極は前面と背面とを備え、第1
    の面が一般に第2の電極に向かい合い;チャックはワー
    クピースを第1の電極の前面に隣接して位置決めする、
    請求項1に記載の装置。
  3. 【請求項3】 少なくとも1つのコンデンサが:第1の
    電極の周囲付近に空間的に分布されるそれぞれの点にお
    いて、第1の電極にそれぞれ接続された複数のコンデン
    サを含む、請求項1に記載の装置。
  4. 【請求項4】 第1の電極が前面と背面とを備え、第1
    の面が一般に第2の電極に向かい合い;少なくとも1つ
    のコンデンサが、第1の電極の背面を覆って空間的に分
    布されるそれぞれの点において、第1の電極にそれぞれ
    接続された複数のコンデンサを含む、請求項1に記載の
    装置。
  5. 【請求項5】 少なくとも1つのコンデンサが:第1の
    電極の中央付近の点で第1の電極に電気的に接続される
    第1のコンデンサを含む、請求項1に記載の装置。
  6. 【請求項6】 第1の電極の中央付近の点で第1の電極
    に接続される導電性軸をさらに含み;少なくとも1つの
    コンデンサは、軸に直接に電気的接続される第1のコン
    デンサを含む、請求項5に記載の装置。
  7. 【請求項7】 少なくとも1つのコンデンサが750p
    fから3000pfまでの範囲内の総静電容量を有す
    る、請求項1に記載の装置。
  8. 【請求項8】 高周波電源および低周波電源が共同し
    て、第1の電極と第2の電極との間の領域でプラズマを
    励起し;少なくとも1つのコンデンサが、前記領域中の
    前記プラズマの強度を、少なくとも1つのコンデンサが
    存在しない場合の前述のプラズマ強度に比べて実質的に
    増加させる静電容量を有する、請求項1に記載の装置。
  9. 【請求項9】 高周波電源および低周波電源が共同し
    て、第1の電極と第2の電極との間の領域でプラズマを
    励起し;少なくとも1つのコンデンサが、前記領域中の
    前記プラズマ濃度の空間均一性を、少なくとも1つのコ
    ンデンサが存在しない場合の前記プラズマ濃度の空間均
    一性に比べて実質的に向上させる静電容量を有する、請
    求項1に記載の装置。
  10. 【請求項10】 少なくとも1つのコンデンサが、第1
    の電極の後ろのいかなるプラズマ強度をも、少なくとも
    1つのコンデンサが存在しない場合の前述のプラズマ強
    度に比べて実質的に減少させる静電容量を有する、請求
    項1に記載の装置。
  11. 【請求項11】 少なくとも1つのコンデンサが、前記
    第2の周波数で第1の電極を接地に電気接続するのに充
    分なほど大きい静電容量を有する、請求項1に記載の装
    置。
  12. 【請求項12】 少なくとも1つのコンデンサが、低周
    波電源が第1の電極へ充分な電力を供給するのを可能に
    するのに充分なほど小さい静電容量を有する、請求項1
    に記載の装置。
  13. 【請求項13】 少なくとも1つのコンデンサが、プラ
    ズマチャンバ内に、目に見える明らかないかなるプラズ
    マ・ホットスポットも形成されることを防ぐ静電容量を
    有する、請求項1に記載の装置。
  14. 【請求項14】 少なくとも1つのコンデンサが静電容
    量によって特徴付けられる可変コンデンサを含み;プラ
    ズマチャンバが、チャンバ運転中に可変コンデンサの静
    電容量を漸次的に変化する制御装置をさらに含む、請求
    項1に記載の装置。
  15. 【請求項15】 第2の周波数が第1の周波数よりも少
    なくとも2倍大きい、請求項1に記載の装置。
  16. 【請求項16】 第2の周波数が第1の周波数よりも少
    なくとも10倍大きい、請求項1に記載の装置。
  17. 【請求項17】 電子ワークピースを製造する方法であ
    って、前記方法は:プラズマチャンバ内に第1の電極お
    よび第2の電極を取り付けるステップと;第1の電極と
    第2の電極との間に電子ワークピースを位置決めするス
    テップと;第1の電極に第1の周波数を持つ第1の電気
    信号を供給するステップと;第2の電極に第1の周波数
    よりも実質的に高い第2の周波数を持つ第2の電気信号
    を供給するステップと;少なくとも1つのコンデンサを
    第1の電極と電気接地との間に接続するステップと、を
    含む、電子ワークピースを製造する方法。
  18. 【請求項18】 ワークピースが大部分、誘電材料によ
    って構成される、請求項17に記載の方法。
  19. 【請求項19】 第1の電気信号および第2の電気信号
    が共同して第1の電極と第2の電極との間の領域におけ
    るプラズマを励起させ;少なくとも1つのコンデンサ
    が、前記領域中の前記プラズマの強度を、少なくとも1
    つのコンデンサが存在しない場合の前述のプラズマ強度
    に比べて実質的に増加させる静電容量を有する、請求項
    17に記載の方法。
  20. 【請求項20】 第1の電気信号および第2の電気信号
    が共同して第1の電極と第2の電極との間の領域におけ
    るプラズマを励起させ;少なくとも1つのコンデンサ
    が、前記領域中の前記プラズマ濃度の空間均一性を、少
    なくとも1つのコンデンサが存在しない場合の前記プラ
    ズマ濃度の空間均一性に比べて実質的に向上させる静電
    容量を有する、請求項17に記載の方法。
  21. 【請求項21】 少なくとも1つのコンデンサが、第1
    の電極後ろのいかなるプラズマ強度をも、少なくとも1
    つのコンデンサが存在しない場合の前述のプラズマ強度
    に比べて実質的に減少させる静電容量を有する、請求項
    17に記載の方法。
  22. 【請求項22】 少なくとも1つのコンデンサが、前記
    第2の周波数で第1の電極を接地に電気接続するのに充
    分なほど大きい静電容量を有する、請求項17に記載の
    方法。
  23. 【請求項23】 少なくとも1つのコンデンサが、低周
    波電源が第1の電極へ充分な電力を供給するのを可能に
    するのに充分なほど小さい静電容量を有する、請求項1
    7に記載の方法。
  24. 【請求項24】 少なくとも1つのコンデンサが、プラ
    ズマチャンバ内に目に見える明らかないかなるプラズマ
    ・ホットスポットも形成されることを防ぐ静電容量を有
    する、請求項17に記載の方法。
  25. 【請求項25】 少なくとも1つのコンデンサが静電容
    量によって特徴付けられる可変コンデンサを含み;方法
    が、チャンバ運転中に可変コンデンサの静電容量を漸次
    的に変化させるステップをさらに含む、請求項17に記
    載の方法。
  26. 【請求項26】 プラズマチャンバ内で電子ワークピー
    ス上に材料を堆積するステップをさらに含み;静電容量
    を漸次的に変化させるステップが、材料が堆積される間
    に静電容量を変化させるステップを含む、請求項25に
    記載の方法。
  27. 【請求項27】 プラズマチャンバ内で電子ワークピー
    ス上の材料をエッチングするステップをさらに含み;静
    電容量を漸次的に変化させるステップが、材料がエッチ
    ングされる間に静電容量を変化させるステップを含む、
    請求項25に記載の方法。
  28. 【請求項28】 第1の電気信号および第2の電気信号
    が共同して第1の電極と第2の電極との間の領域におけ
    るプラズマを励起させ;静電容量を漸次的に変化させる
    ステップが、チャンバ運転中にプラズマ・インピーダン
    スの変化量を減少するよう静電容量を変化させるステッ
    プを含む、請求項25に記載の方法。
  29. 【請求項29】 第1の電気信号および第2の電気信号
    が共同して第1の電極と第2の電極との間の領域におけ
    るプラズマを励起させ;静電容量を漸次的に変化させる
    ステップが、チャンバ運転中にプラズマ濃度の空間均一
    性の変化量を減少するよう静電容量を変化させるステッ
    プを含む、請求項25に記載の方法。
  30. 【請求項30】 第2の周波数が第1の周波数よりも少
    なくとも2倍大きい、請求項17に記載の方法。
  31. 【請求項31】 第2の周波数が第1の周波数よりも少
    なくとも10倍大きい、請求項17に記載の方法。
JP2001136357A 2000-05-03 2001-05-07 電子ワークピース製造装置 Expired - Lifetime JP4817528B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/563963 2000-05-03
US09/563,963 US6857387B1 (en) 2000-05-03 2000-05-03 Multiple frequency plasma chamber with grounding capacitor at cathode

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011157868A Division JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Publications (3)

Publication Number Publication Date
JP2002151496A true JP2002151496A (ja) 2002-05-24
JP2002151496A5 JP2002151496A5 (ja) 2008-07-24
JP4817528B2 JP4817528B2 (ja) 2011-11-16

Family

ID=24252614

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001136357A Expired - Lifetime JP4817528B2 (ja) 2000-05-03 2001-05-07 電子ワークピース製造装置
JP2011157868A Expired - Lifetime JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011157868A Expired - Lifetime JP5600644B2 (ja) 2000-05-03 2011-07-19 ワークピース製造装置

Country Status (5)

Country Link
US (1) US6857387B1 (ja)
JP (2) JP4817528B2 (ja)
KR (5) KR20010102930A (ja)
SG (1) SG91920A1 (ja)
TW (1) TWI241649B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004315972A (ja) * 2003-04-14 2004-11-11 Samsung Electronics Co Ltd Cvd装置
KR100714882B1 (ko) 2006-02-01 2007-05-04 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
JP2007180596A (ja) * 2007-04-17 2007-07-12 Tokyo Electron Ltd プラズマ処理装置及び高周波電流の短絡回路
JP2007535789A (ja) * 2004-04-30 2007-12-06 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 誘電体基板に基づいて円板状の加工品を製造する方法、ならびにそのための真空処理設備
JP2008274437A (ja) * 2007-05-03 2008-11-13 Applied Materials Inc 矩形サセプタの非対称な接地
CN100435273C (zh) * 2004-06-02 2008-11-19 东京毅力科创株式会社 等离子体处理装置和阻抗调整方法
JP2010043319A (ja) * 2008-08-12 2010-02-25 Konica Minolta Holdings Inc プラズマ放電処理装置
JP2011511475A (ja) * 2008-02-08 2011-04-07 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
JP2012028682A (ja) * 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法
KR101197020B1 (ko) 2006-06-09 2012-11-06 주성엔지니어링(주) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
JP2015517180A (ja) * 2012-03-19 2015-06-18 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システムにおいてrf電流路を選択的に修正するための方法及び装置

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
KR100596329B1 (ko) * 2004-07-02 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치의 접지수단
KR100646104B1 (ko) * 2004-07-02 2006-11-15 주식회사 에이디피엔지니어링 접지장치
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
TW200823571A (en) * 2006-11-30 2008-06-01 Univ Nat Chiao Tung Plasma device for liquid crystal alignment
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
KR100889703B1 (ko) * 2007-04-10 2009-03-24 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR20080105617A (ko) * 2007-05-31 2008-12-04 삼성모바일디스플레이주식회사 화학기상증착장치 및 플라즈마강화 화학기상증착장치
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US8519724B2 (en) * 2007-10-05 2013-08-27 Lam Research Corporation Electrode for use in measuring dielectric properties of parts
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
WO2009082753A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Asymmetrical rf drive for electrode of plasma chamber
JP5069581B2 (ja) * 2008-02-01 2012-11-07 富士フイルム株式会社 ガスバリア膜の成膜方法、ガスバリアフィルムおよび有機el素子
KR101490428B1 (ko) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 플라즈마 처리장비용 접지장치
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
JP5156552B2 (ja) * 2008-09-08 2013-03-06 富士フイルム株式会社 ガスバリアフィルムの製造方法
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
WO2010091205A2 (en) * 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
TWI432100B (zh) * 2009-11-25 2014-03-21 Ind Tech Res Inst 電漿產生裝置
JP5922352B2 (ja) * 2011-08-11 2016-05-24 Sppテクノロジーズ株式会社 窒化膜の製造装置及びその製造方法、並びにその製造プログラム
KR101886740B1 (ko) * 2011-11-01 2018-09-11 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
KR101493254B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR101493250B1 (ko) * 2012-07-09 2015-02-16 엘아이지에이디피 주식회사 원자층 박막 증착장비
KR20150022163A (ko) * 2013-08-22 2015-03-04 삼성디스플레이 주식회사 플라즈마 처리 장치용 스트랩 및 이를 포함하는 플라즈마 처리 장치
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
KR200482926Y1 (ko) 2015-10-02 2017-03-16 (주)씨에스텍 뒤틀림 현상을 감소시킨 유기금속 화학증착프로세싱 챔버용 오메가형 히터
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102401422B1 (ko) * 2016-06-03 2022-05-24 에바텍 아크티엔게젤샤프트 플라즈마 에칭 챔버 및 플라즈마 에칭 방법
KR20190091926A (ko) 2018-01-30 2019-08-07 에이피시스템 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN114008755A (zh) * 2019-04-29 2022-02-01 应用材料公司 接地带组件
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03204925A (ja) * 1990-08-07 1991-09-06 Tadahiro Omi プラズマプロセス用装置および方法
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
JPH11185998A (ja) * 1997-12-17 1999-07-09 Fron Tec:Kk プラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5170098A (en) * 1989-10-18 1992-12-08 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus for use in carrying out the same
US5288971A (en) * 1991-08-09 1994-02-22 Advanced Energy Industries, Inc. System for igniting a plasma for thin film processing
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
JP3157638B2 (ja) 1993-03-02 2001-04-16 アネルバ株式会社 プラズマ処理装置
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH078638A (ja) * 1993-06-21 1995-01-13 Toyomasa Okuyama 鉄道模型の制御装置
JP3173691B2 (ja) 1993-10-04 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置
US5815047A (en) * 1993-10-29 1998-09-29 Applied Materials, Inc. Fast transition RF impedance matching network for plasma reactor ignition
JP3062393B2 (ja) * 1994-04-28 2000-07-10 東京エレクトロン株式会社 プラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH09106899A (ja) * 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
JP3950494B2 (ja) * 1996-05-31 2007-08-01 キヤノンアネルバ株式会社 窒化チタン薄膜の作製方法
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
JP3710081B2 (ja) * 1997-11-30 2005-10-26 アルプス電気株式会社 プラズマ処理装置
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
KR100292411B1 (ko) * 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03204925A (ja) * 1990-08-07 1991-09-06 Tadahiro Omi プラズマプロセス用装置および方法
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH1079350A (ja) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd プラズマ処理装置
JPH11185998A (ja) * 1997-12-17 1999-07-09 Fron Tec:Kk プラズマ処理装置

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004315972A (ja) * 2003-04-14 2004-11-11 Samsung Electronics Co Ltd Cvd装置
JP2007535789A (ja) * 2004-04-30 2007-12-06 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 誘電体基板に基づいて円板状の加工品を製造する方法、ならびにそのための真空処理設備
CN100435273C (zh) * 2004-06-02 2008-11-19 东京毅力科创株式会社 等离子体处理装置和阻抗调整方法
KR100714882B1 (ko) 2006-02-01 2007-05-04 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101197020B1 (ko) 2006-06-09 2012-11-06 주성엔지니어링(주) 균일한 플라즈마 방전을 위한 기판처리장치 및 이를이용하여 플라즈마 방전세기를 조절하는 방법
JP2007180596A (ja) * 2007-04-17 2007-07-12 Tokyo Electron Ltd プラズマ処理装置及び高周波電流の短絡回路
JP2008274437A (ja) * 2007-05-03 2008-11-13 Applied Materials Inc 矩形サセプタの非対称な接地
JP2011511475A (ja) * 2008-02-08 2011-04-07 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
CN102027574A (zh) * 2008-02-08 2011-04-20 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
JP2010043319A (ja) * 2008-08-12 2010-02-25 Konica Minolta Holdings Inc プラズマ放電処理装置
JP2012028682A (ja) * 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法
JP2015517180A (ja) * 2012-03-19 2015-06-18 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システムにおいてrf電流路を選択的に修正するための方法及び装置

Also Published As

Publication number Publication date
JP5600644B2 (ja) 2014-10-01
KR20060123696A (ko) 2006-12-04
KR100971840B1 (ko) 2010-07-22
KR101012407B1 (ko) 2011-02-09
KR20100039833A (ko) 2010-04-16
KR20090080494A (ko) 2009-07-24
KR20010102930A (ko) 2001-11-17
JP2011253821A (ja) 2011-12-15
SG91920A1 (en) 2002-10-15
JP4817528B2 (ja) 2011-11-16
TWI241649B (en) 2005-10-11
US6857387B1 (en) 2005-02-22
KR20070118998A (ko) 2007-12-18

Similar Documents

Publication Publication Date Title
JP4817528B2 (ja) 電子ワークピース製造装置
KR100652983B1 (ko) 플라즈마 처리 장치 및 방법
KR100652982B1 (ko) 플라즈마 처리 방법 및 장치
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
KR100283853B1 (ko) 플라즈마 처리장치
KR101094982B1 (ko) 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
KR100777151B1 (ko) 하이브리드형 플라즈마 반응장치
KR100642157B1 (ko) 플라즈마 처리 장치 및 방법 그리고 플라즈마 생성용전극판
US6706138B2 (en) Adjustable dual frequency voltage dividing plasma reactor
KR101677239B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8261691B2 (en) Plasma processing apparatus
EP0578010A1 (en) Multi-zone plasma processing method
US20110259524A1 (en) Capacitive coupling plasma processing apparatus and method for using the same
US20090314432A1 (en) Baffle plate and substrate processing apparatus
US20080236492A1 (en) Plasma processing apparatus
KR19980063837A (ko) 플라즈마 처리장치
CN111261511B (zh) 等离子体处理装置和等离子体处理方法
JP2003109946A (ja) プラズマ処理装置
JP2000331996A (ja) プラズマ処理装置
CN215008137U (zh) 一种等离子体处理装置
JP2003133398A (ja) プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ
JP2003158117A (ja) ダメージのないウェハードライエッチングのプラズマ処理装置
JP2000345339A (ja) スパッタ成膜応用のためのプラズマ処理装置
KR100962428B1 (ko) 대면적 플라즈마 발생을 위한 고주파전원 공급장치 및이를 이용한 전원공급방법
CN115565840A (zh) 一种等离子体处理装置及处理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080611

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080611

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110411

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110414

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110620

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110830

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4817528

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term