KR101625516B1 - 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법 - Google Patents

플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법 Download PDF

Info

Publication number
KR101625516B1
KR101625516B1 KR1020157017580A KR20157017580A KR101625516B1 KR 101625516 B1 KR101625516 B1 KR 101625516B1 KR 1020157017580 A KR1020157017580 A KR 1020157017580A KR 20157017580 A KR20157017580 A KR 20157017580A KR 101625516 B1 KR101625516 B1 KR 101625516B1
Authority
KR
South Korea
Prior art keywords
plasma
ring
electrode assembly
chamber
bond
Prior art date
Application number
KR1020157017580A
Other languages
English (en)
Other versions
KR20150083142A (ko
Inventor
바비 카드코데이얀
존 맥체스니
에릭 페이프
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150083142A publication Critical patent/KR20150083142A/ko
Application granted granted Critical
Publication of KR101625516B1 publication Critical patent/KR101625516B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

플루오르 및 산소 라디칼과 같은 플라즈마 발생 라디칼로부터 RF 스트랩을 보호하기 위해 플라즈마 챔버에서 이용되는 플렉시블 폴리머 또는 엘라스토머 코팅된 RF 리턴 스트랩, 및 플라즈마 프로세싱 장치에서 입자 오염이 감소된 반도체 기판을 프로세싱하는 방법이 개시된다. 코팅된 RF 스트랩은 입자 발생을 최소화하고, 코팅되지 않은 베이스 컴포넌트보다 더 낮은 부식률을 나타낸다. 전도성 플렉시블 베이스 컴포넌트 상에 플렉시블 코팅을 갖는 이러한 코팅된 부재는 조정가능 갭 용량 결합형 플라즈마 리액터 챔버에서 하나 이상의 전극의 이동을 허용하도록 구성되는 RF 접지 리턴을 제공한다.

Description

플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법{PLASMA PROCESSING APPARATUS AND METHOD OF PROCESSING A SEMICONDUCTOR SUBSTRATE IN THE SAME}
플라즈마 프로세싱 장치는 에칭, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 주입, 및 레지스트 제거를 포함하는 기술에 의해 기판을 프로세싱하는데 이용된다. 플라즈마 프로세싱에 이용되는 하나의 타입의 플라즈마 프로세싱 장치는 상부 전극 및 하부 전극을 포함하는 반응 챔버를 포함한다. 전계가 이 전극들 사이에서 확립되어, 프로세스 가스를 플라즈마 상태로 여기하여 반응 챔버에서 기판을 프로세싱한다.
개요
일 실시형태에서, 코팅된 RF 리턴 스트랩은 표면을 갖는 만곡된 금속 스트립 및 표면에 본딩된 플렉시블 코팅을 포함하고, 상기 코팅은 폴리머 또는 엘라스토머를 포함하고, 상기 코팅은 플라즈마 발생 라디칼의 분위기에서 개선된 내식성을 가지고 라디칼로부터 금속 스트립을 보호한다.
제 2 실시형태에서, 반도체 기판의 플라즈마 프로세싱을 위한 진공 챔버, 및 진공 챔버에서 이용하기 위한 플라즈마 프로세싱 어셈블리를 포함하는 플라즈마 프로세싱 장치가 제공된다. 이 어셈블리는 플라즈마 발생 라디칼에 개선된 내식성을 갖는 실리콘계 엘라스토머 재료 및 엘라스토머 본드에 의해 제 2 부재에 본딩된 제 1 부재를 포함하고, 실리콘계 엘라스토머 재료는 엘라스토머 본드를 둘러싸고 제 1 부재의 접합된 표면을 제 2 부재의 접합된 표면까지 실링하여 플라즈마 발생 라디칼로부터 엘라스토머 본드를 보호한다.
제 3 실시형태는 플라즈마 프로세싱 장치에서 반도체 기판을 프로세싱하는 방법을 제공하는데, 여기서 상부 전극 어셈블리 밑의 플라즈마 프로세싱 장치의 반응 챔버 내의 기판 지지체 상에 기판이 놓인다. 반응 챔버에 프로세스 가스가 도입되고, 상부 전극 어셈블리와 기판 사이의 반응 챔버에서 상기 프로세스 가스로부터 플라즈마가 발생한다. 플라즈마로 상기 기판을 프로세싱하는 동안, 코팅된 RF 리턴 스트랩은 플라즈마 발생 라디칼에 노출된 챔버의 파트들 사이에 RF 전력을 송신한다.
또 다른 실시형태에서, 플라즈마 프로세싱 장치에서 반도체 기판을 프로세싱하는 방법은 제 2 실시형태의 플라즈마 프로세싱 장치의 반응 챔버 내의 기판 지지체 상에 기판을 놓는 단계를 포함한다. 반응 챔버로 프로세스 가스가 도입되고, 기판과 상부 전극 어셈블리 사이의 반응 챔버에서 상기 프로세스 가스로부터 플라즈마가 발생되며, 플라즈마로 기판이 프로세싱된다. 실리콘계 엘라스토머 재료는 기판의 플라즈마 프로세싱 동안에 플라즈마 발생 라디칼로부터 엘라스토머 본드를 보호한다.
도면
도 1 은 코팅된 부재의 실시형태를 포함하는 조정가능 갭 용량 결합형 플라즈마 프로세싱 챔버의 개략도를 도시한다.
도 2 는 엘라스토머 코팅된 쿠폰의 플루오르가 풍부한 플라즈마에서의 부식률의 실험 결과를 제시하는 막대 그래프이다.
도 3 은 엘라스토머 코팅된 쿠폰의 실시형태의 산소가 풍부한 플라즈마에서의 부식률의 실험 결과를 제시하는 막대 그래프이다.
도 4 는 코팅된 부재의 실시형태를 도시한 사진이다.
도 5 는 코팅된 부재의 실시형태를 도시한다.
도 6 은, 실리콘계 엘라스토머 재료의 실시형태가 하부 전극의 상부 표면과 정전 처킹 디바이스의 하부 표면 사이에서 엘라스토머 본드를 둘러싸는 진공 프로세싱 챔버의 일부의 단면도를 도시한다.
도 7 은, 실리콘계 엘라스토머 재료가 온도 제어되는 핫 에지 링 어셈블리에서 세라믹 중간 링의 상부 표면과 상부 핫 에지 링의 하부 표면 사이에서 엘라스토머 본드를 둘러싸는 평행판 플라즈마 장치의 웨이퍼 에지 영역의 단면도를 도시한다.
상세한 설명
신뢰성 있는 디바이스를 달성하고 높은 수율을 획득하는데 있어서 집적 회로의 제조 중에 평판 디스플레이 및 반도체 웨이퍼와 같은 기판의 표면 상의 미립자 오염의 제어가 본질적이다. 플라즈마 프로세싱 장치와 같은 프로세싱 장비는 미립자 오염의 원천일 수 있다. 예를 들어, 웨이퍼 표면 상의 입자의 존재는 포토리소그래피 및 에칭 단계 중에 패턴 전사를 국부적으로 혼란시킬 수 있다. 그 결과, 이들 입자는 게이트 구조, 금속간 유전체층 또는 금속 상호접속 라인을 포함하여 중요한 피처에 결함을 도입하여, 집적 회로 컴포넌트의 고장 또는 기능 불량을 야기할 수 있다.
비교적 짧은 수명을 갖는 리액터 파트는 보통 "소모품", 예를 들어, 실리콘 전극으로 지칭된다. 소모할 수 있는 파트의 수명이 짧으면, 소유 비용이 높다. 유전체 에칭 툴에 이용되는 실리콘 전극 어셈블리는 다수의 RF 시간 (무선 주파수 전력이 플라즈마를 발생시키는데 이용되는 시간) 후에 악화된다. 소모품 및 다른 파트의 부식은 플라즈마 프로세싱 챔버에서 미립자 오염을 발생시킨다. 프로세스 가스의 플라즈마에 의해 발생되는 플루오르 및/또는 산소 라디칼과 같은 고밀도의 라디칼에 대한 노출로 인해 챔버의 한정된 플라즈마 영역 외부의 파트 상에 또는 플라즈마에 직접 노출된 파트 상에 부식이 일어날 수 있다.
도 1 은 플라즈마 프로세싱 장치의 조정가능 갭 용량 결합형 플라즈마 (CCP) 프로세싱 챔버 (200) 의 예시적인 실시형태를 도시한다. 챔버 (200) 는 상부 전극 어셈블리 (225) 의 상부 전극 (224) 의 하부 표면과 하부 전극 어셈블리 (215) 상에 지지되는 기판 (214) 의 상부 표면 사이의 전극 갭 (232) 의 정확한 제어를 허용한다. 웨이퍼의 멀티단계 프로세싱 중에, 갭 높이는 한번 이상 변하여 웨이퍼 프로세싱 조건을 최적화시킬 수도 있다.
챔버 (200) 는 챔버 하우징 (202); 챔버 하우징 (202) 의 천장 (228) 에 탑재된 상부 전극 어셈블리 (225); 상부 전극 어셈블리 (225) 의 하부 표면에 실질적으로 평행하고 이로부터 이격되며, 챔버 하우징 (202) 의 바닥 (205) 에 탑재된 하부 전극 어셈블리 (215); 상부 전극 어셈블리 (225) 와 하부 전극 어셈블리 (215) 사이의 갭 (232) 을 둘러싼 컨파인먼트 링 어셈블리 (206); 상부 챔버 벽 (204); 및 상부 전극 어셈블리 (225) 의 상단 부분을 밀봉하는 챔버 상단 (230) 을 포함한다. 상부 전극 어셈블리 (225) 는 상부 전극 (224); 및 상부 전극 (224) 과 하부 전극 어셈블리 (215) 사이에 정의되는 갭 (232) 으로 프로세스 가스를 분배하는 가스 경로를 포함하는 하나 이상의 배플 (226) 을 포함한다. 간결하게, 상부 전극 어셈블리 (225) 는 3 개의 컴포넌트를 갖는 것으로 도시된다. 그러나, 상부 전극 어셈블리 (225) 는 추가 컴포넌트를 포함할 수 있다. 챔버 하우징 (202) 은 게이트 (미도시)(이를 통해 기판 (214) 이 챔버 (200) 로 언로딩/로딩됨) 를 갖는다. 예를 들어, 기판 (214) 은, 전체가 여기에 참조로서 통합되는 공동 양도된 미국 특허 제 6,899,109호에서 설명된 것과 같은 로드 로크를 통해 챔버에 진입할 수 있다.
몇몇 예시적인 실시형태에서, 상부 전극 어셈블리 (225) 는 상하 방향 (도 1 의 화살표 A 및 A') 으로 조정가능하여, 상부 전극 어셈블리 (225) 와 하부 전극 어셈블리 (215) 사이의 갭 (232) 을 조정한다. 상부 어셈블리 리프트 액추에이터 (256) 는 상부 전극 어셈블리 (225) 를 상승 또는 하강시킨다. 도면에서, 챔버 천장 (228) 으로부터 수직으로 연장되는 환상 연장부 (229) 는 상부 챔버 벽 (204) 의 원통형 보어 (203) 를 따라 조정가능하게 위치한다. 실링 배열 (미도시) 은, 상부 전극 어셈블리 (225) 가 상부 챔버 벽 (204) 및 하부 전극 어셈블리 (215) 에 대해 이동하게 하는 동안에, 229/203 사이에 진공 실을 제공하는데 이용될 수도 있다. 상부 플렉시블 코팅 부재 (248) 는 상부 전극 어셈블리 (225) 와 상부 챔버 벽 (204) 을 전기적으로 커플링한다. 상부 플렉시블 코팅 부재 (248) 는 금속 스트랩 (233) 의 외부 표면에 본딩된 플렉시블 코팅 (도 4 의 235) 으로 코팅되는 전도성 및 플렉시블 금속 스트랩 (도 4 의 233) 을 포함한다. 플렉시블 코팅 (235) 은, 금속 스트랩이 프로세스 가스의 플라즈마에 의해 발생된 활성종 (라디칼) 과 접촉하는 것을 방지함으로써 플라즈마 라디칼로 인한 악화로부터 금속 스트랩을 보호한다.
일 실시형태에서, 플렉시블 코팅 부재 (248) 베이스 컴포넌트는 베릴륨 구리 (BeCu) 로 구성된 RF 스트랩이다. 그러나, 다른 플렉시블한 전도성 재료가 또한 이용될 수도 있다. 일 실시형태에서, 플렉시블 코팅 (235) 은 엘라스토머 또는 폴리머로 구성된다. 바람직하게는, 플렉시블 코팅 (235) 은 Si, SiC, Al 등의 입자와 같은 전도성 필러 입자를 포함하지 않는 가교 실록산 (실리콘 고무) 이다. 상부 플렉시블 코팅 부재 (248) 는 상부 전극 어셈블리 (225) 와 상부 챔버 벽 (204) 사이에 전도성 리턴 경로를 제공하여, 전극 어셈블리 (225) 가 챔버 (200) 내에서 수직으로 이동하게 한다. 이 스트랩은 만곡된 섹션에 의해 접속되는 2 개의 평면 단부를 포함한다. 만곡된 섹션은 상부 챔버 벽 (204) 에 대한 상부 전극 어셈블리 (225) 의 이동을 수용한다. 챔버 사이즈와 같은 인자에 따라, 복수 (2, 4, 6, 8 또는 10) 의 RF 리턴 스트랩은 전극 어셈블리 (225) 둘레에 원주형으로 이격된 위치에 배열될 수 있다.
간결하게, 가스 소스 (234) 에 접속된 하나의 가스 라인 (236) 만이 도 1 에 도시되어 있다. 상부 전극 어셈블리 (225) 에 추가 가스 라인이 커플링될 수 있고, 챔버 상단 (230) 및/또는 상부 챔버 벽 (204) 의 다른 부분을 통해 가스가 공급될 수 있다.
다른 예시적인 실시형태에서, 하부 전극 어셈블리 (215) 는 상하 (도 1 의 화살표 B 및 B') 로 이동하여 갭 (232) 을 조정할 수도 있는 반면, 상부 전극 어셈블리 (225) 는 고정 또는 이동가능할 수도 있다. 도 1 은 하부 전극 어셈블리 (215) 를 지지하는 하부 전도 부재 (264) 로 챔버 하우징 (202) 의 바닥 (하단 벽)(205) 을 통해 연장되는 샤프트 (260) 에 접속된 하부 어셈블리 리프트 액추에이터 (258) 를 도시한다. 도 1 에 도시된 실시형태에 따르면, 샤프트 (260) 가 하부 어셈블리 리프트 액추에이터 (258) 에 의해 상승 및 하강하는 경우에 상부 전극 어셈블리 (225) 와 상부 챔버 벽 (204) 에 대해 하부 전극 어셈블리 (215) 를 이동시키는 동안에, 벨로우즈 (262) 는 실링 배열의 일부를 형성하여 챔버 하우징 (202) 의 바닥 (205) 과 샤프트 (260) 사이에 진공 실을 제공한다. 원한다면, 하부 전극 어셈블리 (215) 는 다른 배열에 의해 상승 및 하강될 수 있다. 예를 들어, 캔틸레버 빔에 의해 하부 전극 어셈블리 (215) 를 상승 및 하강시키는 조정가능 갭 용량 결합형 플라즈마 프로세싱 챔버의 다른 실시형태는, 전체가 여기에 참조로서 통합되는 공동 양도되고 공동 출원중인 미국 특허출원 공개공보 제 2008/0171444호에 개시된다.
원한다면, 챔버 벽 라이너 (252) 와 같은 전기 전도성 파트에 외부 전도체 링 (접지 링)(222) 을 전기적으로 커플링하는 적어도 하나의 하부 플렉시블 코팅 부재 (246) 에 의해, 이동가능한 하부 전극 어셈블리 (215) 는 챔버의 벽에 접지될 수 있다. 하부 플렉시블 코팅 부재 (246) 는 상부 플렉시블 코팅 부재 (248) 에 관해 상술한 바와 같이 플렉시블 금속 스트랩의 표면에 본딩된 플렉시블 코팅 및 전도성 및 플렉시블 금속 스트랩을 포함한다. 플렉시블 코팅은, 금속 스트랩이 프로세스 가스의 플라즈마에 의해 발생된 활성종 (라디칼) 과 접촉하는 것을 방지함으로써 플라즈마 라디칼로 인한 악화로부터 금속 스트랩을 보호한다. 갭이 상이한 높이로 설정되는 멀티단계 플라즈마 프로세싱 동안과 같이, 하부 전극 어셈블리 (215) 가 챔버 (200) 내에서 수직으로 이동하게 하는 동안에, 하부 플렉시블 코팅 부재 (246) 는 상부 챔버 벽 (204) 에 외부 전도체 링 (접지 링)(222) 을 전기적으로 커플링하고, 플라즈마용 짧은 RF 리턴 경로를 제공한다. 바람직하게는, 금속 스트랩은 폴리머-코팅된 BeCu 스트립과 같은 플렉시블한 전도성 스트랩이다.
도 1 은 플라즈마가 상호작용하는 표면적을 최소화하고 기판 (214) 에 근접한 플라즈마 체적을 한정하기 위한 컨파인먼트 링 어셈블리 (206) 의 실시형태를 더 도시한다. 일 실시형태에서, 컨파인먼트 링 어셈블리 (206) 는 컨파인먼트 링 어셈블리 (206) 가 수직 방향 (화살표 C-C') 으로 이동가능하도록 리프트 액추에이터 (208) 에 접속되는데, 이는 컨파인먼트 링 어셈블리 (206) 가 상부 및 하부 전극 어셈블리 (225/215) 및 챔버 (200) 에 대해 수동 또는 자동으로 상승 또는 하강할 수 있다는 것을 의미한다. 컨파인먼트 링 어셈블리는 특히 제한되지 않고, 적절한 컨파인먼트 링 어셈블리 (206) 의 세부사항은, 전체가 여기에 참조로서 통합되는 공동 양도된 미국 특허 제 6,019,060호 및 미국 특허출원 공개공보 제 2006/0027328호에 설명된다.
컨파인먼트 링 어셈블리 (206) 는 상부 챔버 벽 (204) 과 같은 전기 전도성 파트에 컨파인먼트 링 어셈블리 (206) 를 전기적으로 커플링하는 적어도 하나의 플렉시블 코팅 부재 (250) 에 의해 챔버의 벽에 접지될 수 있다. 도 1 은 수평 연장부 (254) 를 통해 지지되는 전도성 챔버 벽 라이너 (252) 를 도시한다. 코팅 플렉시블 부재 (250) 는 상부 챔버 벽 (204) 에 컨파인먼트 링 어셈블리 (206) 를 전기적으로 커플링함으로써 짧은 RF 리턴 경로를 제공하는 복수의 금속 스트랩을 포함하는 것이 바람직하다. 코팅된 RF 리턴 스트랩은 상부 플렉시블 코팅 부재 (248) 에 관해 상술한 바와 같이 플렉시블 및 전도성 금속 스트립 및 보호 및 플렉시블 코팅을 포함한다. 플렉시블 코팅 부재 (250) 는 챔버 (200) 내의 컨파인먼트 링 어셈블리 (206) 의 다양한 수직 위치에서 상부 챔버 벽 (204) 과 컨파인먼트 링 어셈블리 (206) 사이에 전도성 경로를 제공할 수 있다.
도 1 에 도시된 실시형태에서, 하부 전극 어셈블리 (215) 로부터 외부 전도체 링 (222) 을 전기 절연하는 유전체 커플링 링 (220) 을 둘러싸는 외부 전도체 링 (접지 링)(222) 에 하부 전도 부재 (264) 가 전기적으로 접속된다. 하부 전극 어셈블리 (215) 는 척 (212), 포커스 링 어셈블리 (216), 및 하부 전극 (210) 을 포함한다. 그러나, 하부 전극 어셈블리 (215) 는, 기판을 리프팅하는 리프트 핀 메커니즘, 광학 센서, 및 하부 전극 어셈블리 (215) 의 부분을 형성하거나 이에 부착된 하부 전극 어셈블리 (215) 를 냉각하는 냉각 메커니즘과 같은 추가적인 컴포넌트를 포함할 수 있다. 척 (212) 은 동작 중에 하부 전극 어셈블리 (215) 의 상단 표면의 정위치에 기판 (214) 을 클램핑한다. 척 (212) 은 정전, 진공, 또는 기계 척일 수 있다.
임피던스 매칭 네트워크 (238) 를 통해 하부 전극 (210) 에 커플링된 하나 이상의 RF 전원 (240) 으로부터 RF 전력이 통상적으로 하부 전극 (210) 에 공급된다. RF 전력은, 예를 들어, 2 MHz, 27 MHz 및 60 MHz 의 하나 이상의 주파수로 공급될 수 있다. RF 전력은 프로세스 가스를 여기하여 갭 (232) 에서 플라즈마를 생성한다. 몇몇 실시형태에서, 상부 전극 (224) 및 챔버 하우징 (202) 은 접지에 전기적으로 커플링된다. 다른 실시형태에서, 상부 전극 (224) 은 챔버 하우징 (202) 로부터 절연되고, 임피던스 매칭 네트워크를 통해 RF 전원으로부터 RF 전력을 공급받는다.
상부 챔버 벽 (204) 의 하단은 챔버 (200) 로부터 가스를 배기하는 진공 펌프 유닛 (244) 에 커플링된다. 바람직하게는, 컨파인먼트 링 어셈블리 (206) 는 갭 (232) 내에 형성된 전계를 실질적으로 종료하고 전계가 외부 챔버 체적 (268) 에 침투하는 것을 방지한다.
갭 (232) 에 주입된 프로세스 가스가 에너자이징되어, 플라즈마를 생성하여 기판 (214) 을 프로세싱하고, 컨파인먼트 링 어셈블리 (206) 를 통해, 외부 챔버 체적 (268) 에 전달되어 진공 펌프 유닛 (244) 에 의해 배기된다. 동작 중에 외부 챔버 체적 (268) 의 리액터 챔버 파트가 반응성 프로세스 가스 (라디칼, 활성종) 에 노출될 수 있으므로, 프로세스 가스를 견디거나 보호 코팅을 가질 수 있는 스테인리스 스틸과 같은 재료로 형성되는 것이 바람직하다. 마찬가지로, 벨로우즈 (262) 는 프로세스 가스 화학 작용을 견딜 수 있는 스테인리스 스틸과 같은 재료로 형성되는 것이 바람직하다.
동작 중에 RF 전원 (240) 이 RF 전력을 하부 전극 어셈블리 (215) 에 공급하는 실시형태에서, RF 전원 (240) 은 RF 에너지를 샤프트 (260) 를 경유하여 하부 전극 (210) 에 전달한다. 갭 (232) 의 프로세스 가스는 전기적으로 여기되어, 하부 전극 (210) 에 전달된 RF 전력에 의해 플라즈마를 생성한다.
챔버 (200) 에서, 플렉시블 코팅 부재 (246/248/250) 는 챔버 벽 라이너 (252) 또는 상부 챔버 벽 (204) 과 외부 전도체 링 (접지 링)(222), 컨파인먼트 링 어셈블리 (206) 및/또는 상부 전극 어셈블리 (225) 사이에 상술한 바와 같이 고정된 전기 접속을 제공하는 RF 리턴 스트랩일 수 있다. 웨이퍼 프로세싱 중에, 상부 전극 (225) 과 하부 전극 (215) 사이의 갭 (232) 이 조정될 수도 있고, RF 리턴 스트랩은 이러한 갭 조정 중에 벤딩 (bending) 된다. 이들 코팅 부재는 챔버 (200) 의 상부 챔버 벽 (204)을 포함하는 RF 리턴 경로와 비교할 때 플라즈마에 대한 다른 더 짧은 RF 리턴 경로를 만든다. 예를 들어, 외부 전도체 링 (222) 은 전도 재료로 형성되고, 유전체 커플링 링 (220) 에 의해 하부 전극 어셈블리 (215) 로부터 전기 절연된다. 리턴 경로는 상부 전극 어셈블리 (225), 플렉시블 코팅 부재 (248), 상부 챔버 벽 (204), 플렉시블 코닝 부재 (246), 외부 전도체 링 (222), 샤프트 (260) 의 벽 또는 실드를 통해 매칭 네트워크 (238) 까지이다. 벨로우즈 (262) 는 리턴 경로의 일부가 아닌 것이 바람직하다. 리턴 경로는 또한, 하부 전도 부재 (264) 에서 바닥 (하단 벽)(205) 으로 연장되는 하나 이상의 플렉시블 코팅 부재 (도 1 에 미도시) 를 통과하고/하거나; 바닥 (하단 벽)(205) 에서 샤프트 (260) 로 연장되는 하나 이상의 플렉시블 코팅 부재 (도 1 에 미도시) 를 통과할 수 있다.
바람직하게는, 외부 전도체 링 (222) 은 3 개 내지 12 개의 플렉시블 코팅 부재 (246) 에 의해 챔버 벽 라이너 (252) 에 전기적으로 접속된다. 더 바람직하게는, 8 개의 폴리머 코팅 RF 스트랩은 외부 전도체 링 (222) 을 챔버 벽 라이너 (252) 에 전기적으로 접속한다.
외부 전도체 링 (222) 이 갭 제어 동안에 상부 챔버 벽 (204) 에 대해 이동하여 웨이퍼 프로세싱 또는 기판 로딩/언로딩을 용이하게 함에 따라, 플렉시블 코팅 부재 (246) 는 충분히 플렉시블하여 상대적인 모션을 수용한다. 플렉시블 코팅 부재 (246) 는 반도체 그레이드 베릴륨 구리 (BeCu) 와 같은 금속 합금으로부터 형성되는 것이 바람직하다. 바람직하게는, 플렉시블 코팅 부재 (246) 상의 코팅은 반응성 프로세스 가스에 저항성이 있다. 플렉시블 코팅 부재 (246/248/250) 의 만곡된 섹션은 각각 상부 챔버 벽 (204) 또는 벽 라이너 (252) 와 전도체 링 (222)/상부 전극 어셈블리 (225)/컨파인먼트 링 어셈블리 (206) 사이의 상대적인 모션으로 인해 확장 또는 압축된다. 플렉시블 코팅 부재 (246/248/250) 는 갭 조정을 수용하기 위한 하나 이상의 만곡된 섹션을 가질 수도 있다.
코팅되지 않은 전도성 플렉시블 금속 스트랩을 챔버 하우징 (202) 에서 프로세스 가스 및/또는 플라즈마 발생 라디칼에 노출하는 것은 플렉시블 스트랩의 노출된 금속의 부식으로부터 오염을 만들 수 있다. 플라즈마 발생 라디칼은 또한 컨파인먼트 링 어셈블리 (206) 를 통해 이동하는 라디칼로부터 외부 챔버 체적 (268) 에서의 컴포넌트 및 지지체도 부식시킬 수 있다. 스트랩의 부식으로 인한 입자 및/또는 금속 오염에 더해, 진공 챔버의 코팅되지 않은 스트랩은 예정된 챔버 메인터넌스보다 일찍 교체될 필요가 있을 수도 있다. 플라즈마 발생 라디칼에 노출되는 전도성 플렉시블 스트랩과 같은 금속 컴포넌트의 폴리머 또는 엘라스토머 코팅은 컴포넌트 수명을 상당히 증가시키고, 플라즈마 챔버에서 원하지 않는 입자 및/또는 금속 오염을 감소시킨다.
다양한 코팅을 테스트하기 위해, Lam Research Coporation (www. lamrc.com) 으로부터 공급되는 Lam Research 2300 ExelanTM Flex 플라즈마 프로세싱 시스템과 같이 플라즈마 에칭 챔버와 같은 플라즈마 챔버에서 플라즈마 발생 플루오르 라디칼에 엘라스토머 및 폴리머 코팅된 쿠폰이 노출되었다. 이들 실험 결과는 막대 그래프로서 도 2 에 도시되고 표 1 에 제시된다. 산소 라디칼에 대한 저항을 테스트하기 위해, 플루오르 라디칼에 노출된 쿠폰에 대해 설명한 것과 유사한 방식으로, 쿠폰 상의 엘라스토머 및 폴리머 코팅이 플라즈마 발생 산소 라디칼에 노출되었다. 산소 라디칼에서의 이들 실험 결과는 막대 그래프로서 도 3 에 도시되고, 표 1 에 제시된다.
표 1. 플루오르 또는 산소 활성종 (라디칼) 에서 엘라스토머 및 폴리머 플렉시블 코팅의 부식의 실험 테스트 결과
Figure 112015063706495-pat00001
테스트 쿠폰 상의 엘라스토머 및 폴리머 코팅은 프로세스 가스의 플라즈마에 의해 발생된 활성종 (라디칼) 과 접촉하는 경우에 부식되었다. 도 2 는 플루오르 (F) 라디칼을 함유한 플라즈마에 대한 노출 후에 측정된 평균 부식률 (단위 ㎛/1000 hr) 을 도시한다. 샘플 1-10 의 코팅은 플루오로엘라스토머를 포함하였다. 이들 플루오로엘라스토머는 샘플 7 (PTFE) 에 대해서는 약 27 ㎛/1000 hr 에서 샘플 10 (유기 충진 퍼플루오로엘라스토머) 에 대해서는 약 64 ㎛/1000 hr 까지의 F 라디칼 부식률을 나타내었다. 샘플 11 은 퍼플루오로엘라스토머와 비교하여 약 16 ㎛ 의 플루오르 라디칼 화학 작용에 대한 상당히 개선된 부식 저항성을 나타낸 2 파트 에폭시였다. 놀랍게도, 실리콘-타입 재료로 구성된 샘플 12 및 13 은 테스트된 다른 샘플 중 어떤 샘플보다 플루오르 라디칼에 대해 매우 우수한 부식 저항성을 나타내었다. 2 파트 비충진 실리콘 샘플은 약 7 ㎛/1000 hr 의 평균 부식률을 나타내었고, 충진 실리콘 샘플은 약 9 ㎛/1000 hr 의 평균을 나타내었다.
도 3 은 산소 (O) 라디칼을 함유한 플라즈마에 대한 엘라스토머 및 폴리머 코팅된 쿠폰의 노출 후에 측정된 평균 부식률 (단위 ㎛/1000 hr) 을 도시한다. 이 환경에서, 플루오로엘라스토머는 샘플 5 (Sifel 614 TM) 에 대해서는 약 1.2 ㎛/1000 hr 에서 샘플 9 (실리케이트 충진 퍼플루오로엘라스토머) 에 대해서는 약 16 ㎛/1000 hr 까지의 부식률을 나타내었다. 샘플 11, 2 파트 에폭시는 테스트된 다른 샘플 중 어떤 샘플보다 산소 라디칼에 노출되는 경우에 상당히 많은 부식을 나타내었으며, 여기서 부식률은 약 50 ㎛/1000 hr 이다. 놀랍게도, 2 파트 비충진 실리콘 재료로 구성된 샘플 12 는 테스트된 다른 샘플 중 어떤 샘플보다 산소 라디칼에 대해 매우 우수한 부식 저항성을 나타내었다. 2 파트 비충진 실리콘 샘플은 약 1.1 ㎛/1000 hr 의 평균 부식률을 나타내었다.
표 1 과 도 2 및 도 3 의 결과를 보고 알 수 있는 바와 같이, 실리콘 코팅은 플루오르 및 산소 라디칼 환경 양자에서 테스트된 다른 재료와 비교하여 상당히 훌륭한 부식 저항성을 나타내었다. 플루오르가 풍부한 플라즈마에 노출된 Rhodorosil V217 TM 실리콘은, Rhodorsil V217TM 의 거의 2 배의 평균 부식률을 갖는 다음으로 가장 큰 부식 저항성이 있는 재료 타입으로 테스트되는 다른 재료 타입과 비교하여 매우 낮은 부식률을 가졌다. 도 3 에 도시된 바와 같이, 실리콘계 재료는 또한 산소가 풍부한 플라즈마에서 매우 낮은 부식률을 가졌다. 이 결과는 테스트된 재료 타입 중 많은 재료 타입이 하나의 환경에서만 잘 수행되었기 때문에 또한 놀랍다.
플렉시블 코팅 부재 (246/248/250) 는 일반적으로 챔버의 한정된 플라즈마 영역 외부에 있지만, 몇몇 프로세스 조건 하에서는, 높은 밀도의 플루오르 및 산소 라디칼이 한정된 플라즈마 영역의 외부에 존재할 수 있다. BeCu 와 같이, 플렉시블 코팅 부재 (246/248/250) 의 노출된 베이스 금속은 프로세싱 챔버의 진공 환경에서 프로세싱된 웨이퍼의 금속 오염을 만들 수 있다. 따라서, 플렉시블 코팅 부재 (246/248/250) 상의 코팅은, 플루오르 및 산소가 풍부한 라디칼 화학 작용에 노출되는 동안에 벤딩을 견뎌서 플렉시블 코팅 부재 (246/248/250) 의 현저하게 개선된 수명을 제공하도록 탄성인 것이 바람직하다.
*도 4 는 하부 플렉시블 코팅 부재 (248) 의 실시형태를 도시한 사진이다. 라디칼 환경에 노출된 전도성 및 플렉시블 금속 스트랩 (233) 의 표면은 플렉시블 코팅 (235) 으로서 실리콘 엘라스토머 재료로 코팅되었다.
플렉시블 컴포넌트를 코팅하기 위해, 플렉시블 금속 스트랩 (233) 은 코팅을 도포하기 전에 세정되는 것이 바람직하다. 예를 들어, BeCu 플렉시블 금속 스트랩의 표면은 샌드블래스팅에 의해 거칠게 되고, 적용될 코팅과 양립가능한 프라이머로 프라이밍되며 프라이머가 건조된 후에 실리콘 엘라스토머 재료로 딥 코팅 또는 스프레이 코팅될 수 있다. 그러나, 이 표면은 실리콘 엘라스토머 재료로 직접, 즉, 원한다면 프라이머 없이 코팅될 수 있다. 이 코팅은 임의의 적절한 기술에 의해 경화되는 것이 바람직하다. 예를 들어, 이 코팅은 가열되거나 다른 경화 방법이 처리되어 실리콘 재료를 경화할 수 있다.
일 실시형태에서, 엘라스토머 또는 폴리머 재료는 플렉시블 코팅을 플렉시블 전도성 재료 컴포넌트에 제공하여 금속 컴포넌트의 표면에 접착하고 프로세스 가스의 라디칼로부터 금속 컴포넌트를 보호한다. 바람직하게는, 이 코팅은 진공 환경에서 라디칼로부터 내식성이 있고 200℃ 이상과 같은 고온에서 열화에 저항성이 있는 인시츄 경화된 엘라스토머 또는 폴리머이다. 160℃ 이상의 플라즈마 환경에서 이용될 수 있는 폴리머 재료는 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머, 셀룰로오스, 트리아세테이트, 실리콘, 및 고무를 포함한다.
더 바람직하게는, 이 코팅은 접착 강도, 탄성률 (elastic modulus), 부식률, 온도 저항성 등과 같은 적절한 사전-경화 및 사후-경화 특성을 나타내는 인시츄 RTV (room temperature vulcanized) 비충진 실록산이다. 예를 들어, 인시츄 경화성 실리콘은 백금, 페록사이드 또는 열을 이용하는 2-파트 또는 1-파트 경화 수지일 수 있다. 바람직하게는, 실리콘 엘라스토머 재료는 메틸기 (실록산) 를 갖는 Si-O 백본을 가진다. 그러나, 탄소 또는 탄소-플루오르 백본이 또한 이용될 수 있다. 가장 바람직하게는, 비충진, 가교 실리콘 고무를 형성하는 베이스 컴포넌트의 보호를 위해 실리콘 재료가 인시츄로 경화한다. 특히 바람직한 엘라스토머는, 250℃ 이상의 온도에서 안정한 엘라스토머, Rhodorsil V217TM 과 같은 Rhodia 로부터 이용가능한 엘라스토머, 촉매 경화, 예를 들어, Pt-경화된 것과 같은 엘라스토머를 함유하는 폴리디메틸실록산이다.
도 5 는 조정가능 갭 용량 결합형 플라즈마 리액터 챔버 (200) 에서 전도성 챔버 측벽 라이너 (252) 에 외부 전도체 링 (222) 을 전기적으로 접속하는 플렉시블 및 전도성 코팅 부재 (246) 의 실시형태를 도시한다. 도 5 는 코팅되지 않은 영역 (도 4 참조) 에서 전도성 및 플렉시블 금속 스트랩 (233) 을 전기적으로 접속하도록 구성된 스테인리스 스틸, 구리, 알루미늄 또는 금 도금된 금속 블록과 같은 전기 전도성 접속 부재 (270) 를 도시한다. 플렉시블 코팅 부재 (246) 는 0.002 내지 0.020 인치 두께, 0.25 내지 1 인치 너비 및 2 내지 10 인치 길이일 수 있다. 접속 부재 (270) 에 접속된 플렉시블 금속 스트랩 (233) 은 플렉시블 폴리머 또는 엘라스토머 코팅 (235) 에 의해 라디칼로부터 완벽하게 보호된다. 하나의 접속 부재 (270) 는 일측에서 챔버 벽 라이너 (252) 에 접속되고 다른 측에서 플렉시블 코팅 부재 (246) 의 제 1 단부에 접속되는 평면 섹션이다. 코팅 부재 (246) 의 제 2 단부는 외부 전도체 링 (222) 에 접속된 다른 접속 부재 (270) 에 접속되는 평면 섹션이다. 스크류, 리벳, 핀 등과 같은 파스너 (fastener) 를 수용하도록 구성된 접속 부재 (270) 에 파스너 홀 (272) 이 제공되어, 접속을 완성할 수도 있다. 산소 및/또는 플루오르 라디칼에 대한 노출로부터 파스너를 보호하기 위해, 파스너의 노출된 표면 상에 코팅이 또한 제공될 수 있다.
도 2 를 참조하면, 샤워헤드 효과로 갭 (232) 에 프로세스 가스가 흐르도록 하나 이상의 배플 (226) 을 포함할 수도 있는 상부 전극 어셈블리 (225) 를 통해 프로세스 가스가 갭 (232) 에 도입된다. 갭 (232) 에서, 프로세스 가스가 여기되어 플라즈마를 생성함으로써, 하부 전극 어셈블리 (215) 의 상단 지지 표면 상에 탑재된 기판 (214) 을 프로세싱한다. 예를 들어, 기판 (214) 은 프로세스 가스로 플라즈마 에칭될 수 있다.
기판 (214) 의 중심 축과 동축인 갭 (232) 은 컨파인먼트 링 어셈블리 (206) 를 포함하는 영역에 의해 상부 챔버 벽 (204) 으로부터 이격되고, 외부 챔버 체적 (268) 및 챔버 벽 라이너 (252) 를 포함한다. 컨파인먼트 링 리프트 액추에이터 (208) 가 동작함에 따라, 컨파인먼트 링 어셈블리 (206) 는 하향으로 이동하거나, 하부 전극 어셈블리 (215) 가 상향으로 이동함에 따라, 컨파인먼트 링 어셈블리 (206) 의 하단 링이 외부 전도체 링 (222) 의 숄더와 접촉하게 된다. 컨파인먼트 링 어셈블리 (206) 의 링들은 약 2000 Ω·㎝ 의 높은 전기 전도율을 갖는 실리콘 또는 실리콘 카바이드와 같이, 높은 전기 전도율을 갖는 재료로 형성되는 것이 바람직하고, 갭 (232) 에서의 플라즈마의 가혹한 동작 환경을 견딜 수 있다. 이 링들은 알루미늄 또는 그래파이트와 같이, 다른 적절한 전도성 재료로 형성될 수도 있다. 컨파인먼트 링 리프트 액추에이터 (208) 의 포스트는 금속으로 형성될 수도 있다.
컨파인먼트 링 어셈블리 (206) 는, 갭 (232) 의 중성 가스 성분이 컨파인먼트 링 어셈블리 (206) 에서의 갭을 일반적으로 수평 방향으로 통과하게 하는 동안에, 상부 및 하부 전극 어셈블리 (225) 에 의해 그리고 링들에 의해 둘러싸인 공간으로 플라즈마를 한정하는 것을 보조한다. 이후, 챔버 벽 (204) 의 내부 표면에 의해 둘러싸인 외부 챔버 체적 (268) 으로 중성 가스 성분이 흐른다. 외부 챔버 체적 (268) 의 압력은 챔버 벽 (204) 의 하단에 부착된 진공 펌프 유닛 (244) 에 의해 제어된다. 이로써, 컨파인먼트 링 어셈블리 (206) 는 외부 챔버 체적 (268) 으로부터 갭 또는 플라즈마 여기 영역 (232) 을 분리시킨다. 일반적으로, 갭 영역 (232) 의 체적은 외부 챔버 체적 (268) 의 체적과 비교하여 작다. 기판 (214) 의 에칭율이 갭 (232) 의 플라즈마에 의해 직접 영향을 받기 때문에, 컨파인먼트 링 어셈블리 (206) 는 챔버 하드웨어의 주된 물리적 변화없이 갭 (232) 의 전체 범위 위로의 플라즈마 한정 및 작은 체적 압력 제어를 가능하게 한다. 또한, 갭 (232) 의 체적이 작으므로, 플라즈마 조건이 신속하고 정확하게 제어될 수 있다.
상부 전극 어셈블리 (225) 및 하부 전극 어셈블리 (215) 의 반복 사용 시에, 플라즈마를 대면하는 전극 표면은 서서히 플라즈마에 의해 부식된다. 프로세스 반복도가 유지되도록 상부 전극 (224) 및 하부 전극 (210) 의 마모를 보상하기 위해 갭 (232) 이 조정될 수 있으며, 이에 의해 전극의 수명이 연장되고 소모품의 비용이 낮아진다.
도 6 은 일 실시형태에 따라 하부 전극 어셈블리 (150) 에 척 (160) 을 결합하는 엘라스토머 본드 (170) 를 갖는 평행판 플라즈마 장치 (100) 의 기판 (웨이퍼) 에지 영역의 단면을 도시한다. 척 (160) 은 플라즈마 프로세싱을 위해 기판 (180) 을 수용하도록 구성된 상부 표면을 가진다. 척의 하부 표면은 엘라스토머 본드 (170) 에 의해 하부 전극 어셈블리 (150) 의 상부 표면에 본딩된다. 적절한 엘라스토머의 세부사항은, 전체가 여기에 참조로서 통합되는 공동 양도되고 공동 출원중인 미국 특허 제 6,073,577호에서 설명된다. 하부 전극 어셈블리 (150) 는 옵션으로 상부 부재 (152) 를 포함할 수 있다. 엘라스토머 본드 (170) 는 산소 및 플루오르 라디칼과 같은 플라즈마 발생 라디칼에 의한 부식에 취약하다. 플라즈마 발생 라디칼 환경 하에서 개선된 내식성을 갖는 실리콘계 재료 (172) 는 엘라스토머 본드 (170) 를 둘러싸고 하부 전극 어셈블리 (150) 의 상부 표면을 척 (160) 의 하부 표면까지 실링하도록 구성된다. 실리콘계 재료 (172) 는 인시츄 경화 비충진, 가교 실리콘 고무인 것이 바람직하다. 특히 바람직한 엘라스토머는 250℃ 이상의 온도에서 안정한 엘라스토머, Rhodorsil V217TM 로부터 이용가능한 엘라스토머, 촉매 경화, 예를 들어, Pt-경화된 것과 같은 엘라스토머를 함유하는 폴리디메틸실록산이다.
도 7 은 다른 실시형태에 따라 기판 지지체를 둘러싸도록 구성된 온도 제어되는 핫 에지 링 어셈블리 (310) 를 도시한다. 에지 링 어셈블리 (310) 는 상부 링 (320), 세라믹 중간 링 (330), 및 전도성 하부 링 (340) 을 포함한다. 중간 링 (330) 은 상부 표면 (332) 및 하부 표면 (334) 을 가지는데, 중간 링 (330) 의 하부 표면 (334) 은 하부 링 (340) 을 통해 하부 전극 어셈블리 (150) 의 무선 주파수 (RF) 전극에 열적으로 커플링된다. 이러한 핫 에지 링 어셈블리 (310) 의 세부사항은, 전체가 여기에 참조로서 통합되는 공동 소유인 미국 특허 제 7,244,336호에서 발견될 수 있다.
상부 링 (320) 은 실리콘, 탄소 (예를 들어, 그래파이트), 실리콘 카바이드 등과 같은 열 및 전기 전도성 재료로 이루어지는 것이 바람직하다. 도 7 에 도시된 바와 같이, 상부 링 (320) 의 하부 표면 (328) 은 열 전도성 엘라스토머에 의해 중간 링 (330) 의 상부 표면 (332) 에 본딩되는 것이 바람직하다. 일 실시형태에 따르면, 플라즈마 발생 라디칼 환경 하에서 개선된 내식성을 갖는 실리콘계 재료 (314, 316) 는 엘라스토머 본드 (312) 를 둘러싸고 중간 링 (330) 의 상부 표면 (332) 을 상부 링 (320) 의 하부 표면 (328) 까지 실링하도록 구성된다.
상기에서 설명된 바와 같이 엘라스토머 본드를 둘러싸고 보호하는 O-링 형상의 실리콘 재료의 실시형태는 용이하게 대체가능하다. O-링은 컴포넌트들 사이의 갭에 맞고 이 갭을 실링하는 단면 형상을 가지거나 원형 단면을 가질 수 있다. 실리콘 재료 0-링은 정위치에 형성되거나 그루브에 삽입 및 수행될 수 있다.
본 발명이 이의 특정 실시형태를 참조하여 상세히 설명되었지만, 다양한 변경 및 변형이 이루어질 수 있으며, 첨부된 청구항의 범위를 벗어나지 않고 균등물이 채용될 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (11)

  1. 플라즈마 프로세싱 장치로서,
    반도체 기판을 플라즈마 프로세싱하기 위한 진공 챔버;
    플라즈마 발생 라디칼에 내식성을 갖는 실리콘계 엘라스토머 재료 및 엘라스토머 본드에 의해 제 2 부재에 본딩된 제 1 부재를 포함하는 상기 진공 챔버에서 이용하기 위한 플라즈마 프로세싱 어셈블리를 포함하며,
    상기 실리콘계 엘라스토머 재료는 상기 엘라스토머 본드를 둘러싸고 상기 제 1 부재와 상기 제 2 부재 사이에서 상기 제 1 부재의 접합된 표면을 상기 제 2 부재의 접합된 표면까지 실링하여 플라즈마 발생 라디칼로부터 상기 엘라스토머 본드를 보호하고,
    상기 실리콘계 엘라스토머 재료는 상기 엘라스토머 본드에 인접한 내주부 및 상기 플라즈마 발생 라디칼에 노출되는 외주부를 가지며, 상기 외주부는 상기 내주부보다 더 두꺼운, 플라즈마 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 어셈블리는 상기 진공 챔버의 하부 부분에 위치한 기판 지지체를 둘러싸는 온도 제어되는 핫 에지 링 어셈블리를 포함하고;
    상기 제 1 부재는 하부 링 위에 놓인 세라믹 중간 링을 포함하고, 상기 세라믹 중간 링은 상기 기판 지지체에 통합된 RF 전극에 상기 하부 링을 통해 부착되고;
    상기 제 2 부재는 상기 세라믹 중간 링 위에 놓인 상부 링을 포함하고, 상기 상부 링은 상기 진공 챔버의 내부에 노출된 상부 표면, 및 상기 엘라스토머 본드를 통해 상기 세라믹 중간 링의 상부 표면에 본딩되는 하부 표면을 가지고;
    상기 실리콘계 엘라스토머 재료는 상기 엘라스토머 본드를 둘러싸고 상기 세라믹 중간 링의 상부 표면을 상기 상부 링의 하부 표면까지 실링하여 플라즈마 발생 라디칼로부터 상기 엘라스토머 본드를 보호하는, 플라즈마 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 어셈블리는 상기 진공 챔버의 하부 부분에 위치한 기판 지지체를 포함하고;
    상기 제 1 부재는 무선 주파수 (RF) 전원에 커플링된 하부 전극 어셈블리를 포함하고;
    상기 제 2 부재는 상기 하부 전극 어셈블리의 상부 표면 상에 위치한 정전 처킹 부재를 포함하고, 상기 정전 처킹 부재는 기판을 수용하는 상부 표면 및 상기 엘라스토머 본드에 의해 상기 하부 전극 어셈블리의 상부 표면에 본딩되는 하부 표면을 가지며;
    상기 실리콘계 엘라스토머 재료는 상기 엘라스토머 본드를 둘러싸고 상기 하부 전극의 상부 표면을 상기 정전 처킹 부재의 하부 표면까지 실링하여 플라즈마 발생 라디칼로부터 상기 엘라스토머 본드를 보호하는, 플라즈마 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 실리콘계 엘라스토머 재료는 0-링 형상인, 플라즈마 프로세싱 장치.
  5. 제 4 항에 있어서,
    상기 0-링 형상인 실리콘계 엘라스토머 재료는 다각형 단면 형상을 갖는, 플라즈마 프로세싱 장치.
  6. 플라즈마 프로세싱 장치에서 반도체 기판을 프로세싱하는 방법으로서,
    제 1 항에 기재된 플라즈마 프로세싱 장치의 진공 챔버에서 기판 지지체 상에 기판을 놓는 단계;
    상기 진공 챔버로 프로세스 가스를 도입하는 단계;
    상기 반도체 기판과 상부 전극 어셈블리 사이의 상기 진공 챔버에서 상기 프로세스 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 플라즈마로 상기 반도체 기판을 프로세싱하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱하는 단계는 상기 반도체 기판을 에칭하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  8. 제 6 항에 있어서,
    상기 프로세싱하는 단계는 플르오르 라디칼을 함유하는 플라즈마를 이용하는 제 1 단계 및 산소 라디칼을 함유하는 플라즈마를 이용하는 제 2 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 실리콘계 엘라스토머 재료는 인시츄 경화된 비충진, 가교 실리콘 고무인, 플라즈마 프로세싱 장치.
  10. 제 1 항에 있어서,
    상기 실리콘계 엘라스토머 재료는 엘라스토머를 함유하는 폴리디메틸실록산인, 플라즈마 프로세싱 장치.
  11. 제 1 항에 있어서,
    상기 실리콘계 엘라스토머 재료는 촉매 경화된 엘라스토머인, 플라즈마 프로세싱 장치.
KR1020157017580A 2008-02-08 2009-02-06 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법 KR101625516B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US698308P 2008-02-08 2008-02-08
US61/006,983 2008-02-08
PCT/US2009/000786 WO2009099661A2 (en) 2008-02-08 2009-02-06 A protective coating for a plasma processing chamber part and a method of use

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017612A Division KR101577474B1 (ko) 2008-02-08 2009-02-06 플라즈마 프로세싱 장치용 rf 리턴 스트랩

Publications (2)

Publication Number Publication Date
KR20150083142A KR20150083142A (ko) 2015-07-16
KR101625516B1 true KR101625516B1 (ko) 2016-05-30

Family

ID=40938009

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107017612A KR101577474B1 (ko) 2008-02-08 2009-02-06 플라즈마 프로세싱 장치용 rf 리턴 스트랩
KR1020157017580A KR101625516B1 (ko) 2008-02-08 2009-02-06 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107017612A KR101577474B1 (ko) 2008-02-08 2009-02-06 플라즈마 프로세싱 장치용 rf 리턴 스트랩

Country Status (7)

Country Link
US (2) US8522716B2 (ko)
JP (2) JP5214743B2 (ko)
KR (2) KR101577474B1 (ko)
CN (1) CN102027574B (ko)
SG (1) SG188141A1 (ko)
TW (2) TW201506978A (ko)
WO (1) WO2009099661A2 (ko)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
JP5430136B2 (ja) * 2008-12-08 2014-02-26 電気化学工業株式会社 部材表面の改質方法。
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US9520314B2 (en) 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
KR20180049208A (ko) * 2009-08-31 2018-05-10 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
JP5792174B2 (ja) * 2009-09-28 2015-10-07 ラム リサーチ コーポレーションLam Research Corporation 一体化閉じ込めリング装置
JP2011086920A (ja) * 2009-10-14 2011-04-28 Greene Tweed Of Delaware Inc プラズマ耐性に優れた処理装置
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
US8529729B2 (en) * 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
JP5129848B2 (ja) * 2010-10-18 2013-01-30 東京エレクトロン株式会社 接合装置及び接合方法
CN102456567A (zh) * 2010-10-18 2012-05-16 中芯国际集成电路制造(上海)有限公司 接触孔的等离子体干法刻蚀方法
JP5767819B2 (ja) * 2011-02-02 2015-08-19 株式会社Ihi プラズマ処理装置
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN102747339A (zh) * 2011-04-22 2012-10-24 英属开曼群岛商精曜有限公司 等离子体辅助式化学气相沉积装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
WO2013032232A2 (ko) * 2011-08-31 2013-03-07 주식회사 테스 기판 처리 장치, 이를 이용한 비정질 탄소막 형성 방법 및 반도체 소자의 갭필 방법
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
CN104025279B (zh) * 2011-11-23 2017-03-08 朗姆研究公司 一种用于等离子体处理的卡盘组件和用于给静电卡盘组件供电的方法
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US9953825B2 (en) * 2011-11-24 2018-04-24 Lam Research Corporation Symmetric RF return path liner
CN104011838B (zh) * 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US8847495B2 (en) * 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US20130160948A1 (en) * 2011-12-23 2013-06-27 Lam Research Corporation Plasma Processing Devices With Corrosion Resistant Components
JP6545460B2 (ja) 2012-02-29 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロック構成内の除害・剥離処理チャンバ
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
US8677586B2 (en) 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
EP2654070A1 (fr) * 2012-04-16 2013-10-23 INDEOtec SA Réacteur plasma de type capacitif pour le dépôt de films minces
JP2013254901A (ja) 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9275835B2 (en) * 2012-11-29 2016-03-01 Gregory DeLarge Plasma generating device with moving carousel and method of use
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
EP2762607B1 (en) * 2013-01-31 2018-07-25 Applied Materials, Inc. Deposition source with adjustable electrode
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
EP2784176B1 (en) 2013-03-28 2018-10-03 Applied Materials, Inc. Deposition platform for flexible substrates
US9449797B2 (en) * 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9502279B2 (en) * 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
US9355819B2 (en) * 2013-08-16 2016-05-31 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
JP2015162558A (ja) * 2014-02-27 2015-09-07 東京エレクトロン株式会社 プラズマ処理装置及び被処理体を処理する方法
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US20160379806A1 (en) * 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
CN106898534B (zh) * 2015-12-21 2019-08-06 中微半导体设备(上海)股份有限公司 等离子体约束环、等离子体处理装置与基片处理方法
JP6543406B2 (ja) * 2016-03-03 2019-07-10 コアテクノロジー株式会社 プラズマ処理装置
CN109477221B (zh) * 2016-06-22 2020-12-29 株式会社爱发科 等离子体处理装置
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
FI126863B (en) 2016-06-23 2017-06-30 Beneq Oy Apparatus for treating particulate matter
JP6664298B2 (ja) 2016-09-09 2020-03-13 株式会社バルカー シール材
CN106298424B (zh) * 2016-10-10 2018-04-06 武汉华星光电技术有限公司 干刻蚀电极及刻蚀机
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
CN108269727A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN108269728A (zh) * 2016-12-30 2018-07-10 中微半导体设备(上海)有限公司 电容耦合等离子体处理装置与等离子体处理方法
CN106854619B (zh) * 2017-01-19 2023-10-20 西安交通大学 一种基于等离子体的交联装置、使用方法以及应用
KR101927936B1 (ko) * 2017-06-09 2018-12-11 세메스 주식회사 기판 처리 장치
US10199252B2 (en) 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
JP6932070B2 (ja) * 2017-11-29 2021-09-08 東京エレクトロン株式会社 フォーカスリング及び半導体製造装置
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN110416046B (zh) * 2018-04-27 2022-03-11 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
JP7141061B2 (ja) * 2018-12-06 2022-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111326382B (zh) * 2018-12-17 2023-07-18 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
KR102666641B1 (ko) * 2019-03-21 2024-05-20 주성엔지니어링(주) 기판 처리 장치
US11270870B2 (en) * 2019-04-02 2022-03-08 Applied Materials, Inc. Processing equipment component plating
SG11202112687PA (en) * 2019-06-08 2021-12-30 Applied Materials Inc Rf components with chemically resistant surfaces
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112447475B (zh) * 2019-09-05 2023-09-29 中微半导体设备(上海)股份有限公司 一种具有柔性电介质薄片的等离子体处理装置
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
JP7365912B2 (ja) * 2020-01-10 2023-10-20 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
KR20210120291A (ko) 2020-03-26 2021-10-07 삼성전자주식회사 포커스 링 및 이를 구비하는 기판 고정용 척 어셈블리와 플라즈마 처리장치
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
CN113745081B (zh) * 2020-05-27 2024-03-12 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
US11501957B2 (en) * 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
JP2024514524A (ja) * 2021-04-01 2024-04-02 アプライド マテリアルズ インコーポレイテッド プラズマを使用した薄膜形成のグランドリターン
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation
CN114023620B (zh) * 2021-10-29 2023-07-14 德鸿半导体设备(浙江)有限公司 一种用于处理基片的处理站
WO2024053395A1 (ja) * 2022-09-05 2024-03-14 東京エレクトロン株式会社 プラズマ処理装置で使用される部品、プラズマ処理装置で使用される部品の製造方法、及びプラズマ処理装置
CN115881506B (zh) * 2023-03-02 2023-06-27 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003133296A (ja) * 1998-06-30 2003-05-09 Lam Res Corp プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3723481A (en) * 1965-07-09 1973-03-27 Gen Electric Ablative coating compositions
JPH05163330A (ja) * 1991-12-16 1993-06-29 Dow Chem Nippon Kk エポキシ燐酸エステル樹脂、その製造方法、及びその樹脂を含む塗料組成物
JPH07201824A (ja) * 1993-12-29 1995-08-04 Tokyo Electron Ltd 処理装置
US5458162A (en) 1994-06-27 1995-10-17 Lockheed Missiles & Space Company, Inc. Passive intermodulation products (PIM) free antenna mesh
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5702387A (en) 1995-09-27 1997-12-30 Valleylab Inc Coated electrosurgical electrode
JPH11204293A (ja) * 1998-01-14 1999-07-30 Sony Corp プラズマ処理装置
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000114358A (ja) * 1998-10-05 2000-04-21 Tomoegawa Paper Co Ltd 静電チャック装置
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6070444A (en) 1999-03-31 2000-06-06 Sherwood Services Ag Method of mass manufacturing coated electrosurgical electrodes
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US20010046459A1 (en) 1999-09-21 2001-11-29 St. Onge Benedict B. High efficiency ozone generator
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
JP4311600B2 (ja) 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
JP2003007812A (ja) * 2001-06-27 2003-01-10 Komatsu Ltd 静電チャック及び半導体製造装置
US6540745B1 (en) 2001-05-01 2003-04-01 Aeromet Technologies, Inc. Coated medical devices
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20060002234A1 (en) 2004-06-30 2006-01-05 Lobe Henry J Anti-biofouling seismic streamer casing and method of manufacture
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4458995B2 (ja) * 2004-09-10 2010-04-28 京セラ株式会社 ウェハ支持部材
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7147634B2 (en) 2005-05-12 2006-12-12 Orion Industries, Ltd. Electrosurgical electrode and method of manufacturing same
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4942471B2 (ja) * 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP4887202B2 (ja) * 2007-04-17 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置及び高周波電流の短絡回路

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003133296A (ja) * 1998-06-30 2003-05-09 Lam Res Corp プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus

Also Published As

Publication number Publication date
TW200947494A (en) 2009-11-16
JP5214743B2 (ja) 2013-06-19
WO2009099661A2 (en) 2009-08-13
US20090200269A1 (en) 2009-08-13
JP2013102236A (ja) 2013-05-23
JP2011511475A (ja) 2011-04-07
US8522716B2 (en) 2013-09-03
TW201506978A (zh) 2015-02-16
KR20100122901A (ko) 2010-11-23
WO2009099661A3 (en) 2009-10-22
SG188141A1 (en) 2013-03-28
TWI480913B (zh) 2015-04-11
CN102027574B (zh) 2014-09-10
KR101577474B1 (ko) 2015-12-14
US20140065835A1 (en) 2014-03-06
KR20150083142A (ko) 2015-07-16
CN102027574A (zh) 2011-04-20

Similar Documents

Publication Publication Date Title
KR101625516B1 (ko) 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
KR102462224B1 (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
CN109844928B (zh) 具有v形密封带的陶瓷静电吸盘
US9899228B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
JP6728196B2 (ja) 高温ポリマー接合によって金属ベースに接合されたセラミックス静電チャック
US6549393B2 (en) Semiconductor wafer processing apparatus and method
US20050229849A1 (en) High productivity plasma processing chamber
US20070000614A1 (en) Method and apparatus for reducing substrate backside deposition during processing
KR20100103611A (ko) 반도체 진공 프로세싱 장치용 필름 점착제
JP2009065121A (ja) 拡散装置支持体
KR20140059153A (ko) 플라즈마 프로세싱 챔버의 팔라듐 도금된 알루미늄 컴포넌트 및 그의 제조 방법
KR100801344B1 (ko) 반도체 처리챔버를 세정하기 위한 장치
CN110634725B (zh) 喷淋头和等离子体处理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190517

Year of fee payment: 4