JP2003133296A - プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法 - Google Patents

プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法

Info

Publication number
JP2003133296A
JP2003133296A JP2002207379A JP2002207379A JP2003133296A JP 2003133296 A JP2003133296 A JP 2003133296A JP 2002207379 A JP2002207379 A JP 2002207379A JP 2002207379 A JP2002207379 A JP 2002207379A JP 2003133296 A JP2003133296 A JP 2003133296A
Authority
JP
Japan
Prior art keywords
elastomeric
electrode
plasma
bond
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002207379A
Other languages
English (en)
Other versions
JP4477292B2 (ja
Inventor
John Lilleland
ジョン リルランド,
Jerome S Hubacek
ジェロム エス. ヒューバセク,
William S Kennedy
ウィリアム エス. ケネディー,
Robert A Maraschin
ロバート エ−. マラシュチン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003133296A publication Critical patent/JP2003133296A/ja
Application granted granted Critical
Publication of JP4477292B2 publication Critical patent/JP4477292B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 エラストマで接合したプラズマ反応チャン
バ、プラズマ反応チャンバの製造方法および基板処理方
法。 【解決手段】 エラストマ接合アセンブリは、電極、
窓、ライナなどの部品、またはエラストマ材料によって
支持部材に結合された他の部品を備えることができる。
電極アセンブリは、支持部材は、エラストマ接合によっ
てシリコン・シャワーヘッド電極42などの電極に結合
されたグラファイト・リング42を備えることができ
る。エラストマ接合は、支持部材と電極との間の動きを
可能にし、電極アセンブリの温度サイクルの結果生じる
熱膨張を補償する。エラストマ接合は、電気および/ま
たは熱伝導フィラーを含むことができ、エラストマは、
高温で安定な触媒硬化ポリマーであってよい。

Description

【発明の詳細な説明】
【0001】(発明の背景)(発明の分野)本発明は、
半導体ウェハなど半導体基板のプラズマ処理用の装置に
関する。
【0002】(関連技術の説明)シリコン・ウェハなど
半導体基板を処理するためのプラズマ処理反応装置内で
使用される電極は、米国特許第5074456号および
第5569356号、本明細書で参照することにより組
み込まれたそれらの開示内容に開示されている。'45
6特許は、上側電極が半導体純正物からなり、接着剤、
はんだ、またはろう付け層によって支持フレームに結合
されている。はんだ付けまたはろう付け層は、インジウ
ム、銀、それらの合金などの低蒸気圧金属であってよ
く、支持フレームと電極の結合面にはチタンやニッケル
などの金属の薄層を被覆して、結合層の濡れ性および接
着性を増進することができる。In結合などの冶金結合
が、電極と電極が結合されている部分との示差熱膨張/
収縮によって電極を反らせることが判明している。ま
た、これらの冶金結合は、高プラズマ処理電力では結合
の熱疲労および/または溶融のために機能しないことも
判明している。
【0003】半導体ウェハの化学的エッチングに関連す
る多数の制限を克服するために、ドライ・プラズマ・エ
ッチング、反応性イオン・エッチング、およびイオン・
ミリング技術が開発された。特にプラズマ・エッチング
は、垂直エッチ・レートを水平エッチ・レートよりもは
るかに大きくでき、それにより結果として得られるエッ
チングされたフィーチャの縦横比(すなわち結果として
得られるノッチの高さと幅の比)を適切に制御すること
ができる。実際、プラズマ・エッチングは、高い縦横比
を有する非常に微細なフィーチャを厚さ1マイクロメー
トルにわたってフィルムに形成することを可能にする。
【0004】プラズマ・エッチング・プロセス中、比較
的低圧でガスに大量のエネルギーを加え、それによりガ
スをイオン化することによって、ウェハのマスクされる
表面の上方にプラズマが形成される。エッチングすべき
基板の電位を調節することによって、プラズマ中の荷電
物体を、ウェハにほぼ垂直に衝突するように方向付けす
ることができ、ウェハのマスクされていない領域にある
材料が除去される。
【0005】上記エッチング・プロセスは、多くの場
合、エッチングされる材料と化学反応性があるガスを使
用することによってより効果的に行うことができる。い
わゆる「反応性イオン・エッチング」は、プラズマのエ
ネルギエッチング効果をガスの化学的エッチング効果と
組み合わせる。しかし、多くの化学的な活性剤が過度の
電極摩耗をもたらすことが判明している。
【0006】ウェハの表面全体にわたって均一なエッチ
ング・レートを得るために、プラズマをウェハの表面に
わたって均等に分布させることが望ましい。例えば、米
国特許第4595484号、第4792378号、第4
820371号、第4960488号は、電極の複数の
穴を通してガスを分配するシャワーヘッド電極を開示す
る。これらの特許は一般に、半導体ウェハに対してガス
蒸気の均一な流れを付与するように調整されたアパーチ
ャの配置構成を有するガス分配プレートを記述する。
【0007】反応性イオン・エッチング・システムは通
常、内部に上側電極または陽極、および下側電極または
陰極が配置されたエッチング・チャンバからなる。陰極
は、陽極および容器壁に関して負にバイアスをかけられ
ている。エッチングすべきウェハは、適切なマスクによ
ってカバーされ、陰極に直接配置されている。O2
2、He、またはArを伴うCF4、CHF3、CCl
3、およびSF6などの化学反応性ガスがエッチング・
チャンバ内に導入され、一般的にはミリトール範囲内の
圧力で維持される。上側電極は、電極を介してチャンバ
内へガスを均一に分散することを許容するガス穴を備え
る。陽極と陰極の間に生成された電界が、プラズマを形
成する反応性ガスを分離させる。ウェハの表面は、活性
イオンとの化学的相互作用によって、かつウェハの表面
に当たるイオンの運動量の搬送によってエッチングされ
る。電極によって生成される電界は、陰極にイオンを引
きつけ、イオンが主に垂直方向で表面に当たるように
し、それによりこのプロセスは、適切に画定された垂直
にエッチングされた側壁を生成する。
【0008】単一ウェハ・エッチャ用アセンブリ内のシ
ャワーヘッド電極10が図1に示される。その種のシャ
ワーヘッド電極10は、一般に電極10の下方に1〜2
cmの間隔を置いてウェハが支持される平底電極を有す
る静電チャックと共に使用される。この種のチャッキン
グ構成は、ウェハとチャックの間の熱伝達率を制御する
背面He圧力を供給することによってウェハの温度制御
を可能にする。
【0009】電極アセンブリは、定期的に交換しなけれ
ばならない消耗部品である。電極アセンブリは温度制御
部材に取り付けられるため、交換を容易にするために、
従来、シリコン電極10の外縁部の上面を、融点が約1
56℃のインジウムを有するグラファイト支持リング1
2に冶金的に結合している。プラズマによって吸収され
る高周波出力により電極が加熱されるため、そのような
低い融点は、電極に加えることができる高周波出力の量
を制限する。電極10は、中心から縁部へ均一な厚さを
有する平面形ディスクである。リング12の外側フラン
ジは、アルミニウム・クランプ・リング16によって、
水冷チャネル13を有するアルミニウム温度制御部材1
4にクランプされる。水は、水導入口/導出口接続部1
3aによって冷却チャネル13内を循環させられる。空
間を置いて離間された石英リングのスタックからなるプ
ラズマ閉込めリング17が、電極10の外周縁を取り囲
む。プラズマ閉込めリング17は、誘電体環状リング1
8にボルト締結され、誘電体環状リング18は、誘電体
ハウジング18aにボルト締結されている。閉込めリン
グ17の目的および機能は、反応装置内で圧力差を生
じ、反応チャンバ壁とプラズマの間の電気抵抗を増大さ
せ、それにより上部電極と下部電極の間にプラズマを閉
じ込めることである。クランプ・リング16の半径方向
内側に延在するフランジが、グラファイト支持リング1
2の外側フランジに嵌合する。したがって、電極10の
露出表面にクランプ圧力が直接加えられることはない。
【0010】ガス供給源からのプロセス・ガスは、温度
制御部材14の中心穴20を介して電極10に供給され
る。次いでガスは、1つまたは複数の垂直方向に空間を
置いて離間されたバッフル板22を通して分配され、反
応チャンバ24内にプロセス・ガスを均等に分散するた
めの電極10のガス分配穴(図示せず)を通過する。電
極10から温度制御部材14への熱伝導の増大をもたら
すために、温度制御部材14と支持リング12の対向す
る表面間の開いた空間を満たすようにプロセス・ガスを
供給することができる。さらに、環状リング18または
閉込めリング17内のガス経路(図示せず)に接続され
たガス経路27により、反応チャンバ24内で圧力を監
視することができるようになる。温度制御部材14と支
持リング12の間に圧力下でプロセス・ガスを維持する
ために、支持リング12の内面と温度制御部材14の対
向する表面との間に第1のOリング・シール28が付与
され、支持リング12の上面の外側部分と部材14の対
向する表面との間に第2のOリング・シール29が付与
される。チャンバ24内の真空環境を維持するために、
温度制御部材14と円筒形部材18bの間、および円筒
形部材18bとハウジング18aの間に追加のOリング
30、32が付与される。
【0011】シリコン電極10を支持リング12に結合
するプロセスは、シリコン電極10とグラファイト・リ
ング12の熱膨張係数が異なるために電極の湾曲または
割れを生じる可能性がある結合温度まで電極を加熱する
ことを必要とする。また、ウェハの汚染は、電極10と
リング12の間の接合またはリング自体に由来するはん
だ粒子または気化はんだ汚染物質に起因する可能性があ
る。高出力プラズマ処理中、電極の温度が、はんだを溶
融して、電極10の一部または全てをリング12から分
離させるのに十分な高さになる可能性もある。しかし、
電極10がリング12から部分的にでも分離されると、
リング12と電極10の間の電気および熱出力伝送の局
所変動が、電極10の下方で不均一なプラズマ密度を生
じる可能性がある。
【0012】半導体処理の分野では、通常、真空処理チ
ャンバを使用して、真空チャンバにエッチングまたは付
着ガスを供給し、ガスに高周波電界を加えてガスをプラ
ズマ状態に励起することによって、基板上での材料のエ
ッチングおよび化学気相成長(CVD)を行う。平行板、誘
導結合プラズマ(ICP)とも呼ばれる変成器結合プラ
ズマ(TCP(登録商標))、電子サイクロトロン共鳴
(ECR)反応装置、およびその構成要素の例が、既に
所有する米国特許第4340462号、第494845
8号、第5200232号、および第5820723号
に開示されている。そのような反応装置内のプラズマ環
境に腐食性があるため、ならびに粒子および/または重
金属汚染を最小限に抑えることが要求されるため、その
ような機器の構成要素が高い耐食性を示すことが強く望
まれる。
【0013】半導体基板の処理中、基板は通常、機械的
クランプおよび静電クランプ(ESC)によって、真空
チャンバ内部で基板ホルダ上に定位置に保持される。そ
のようなクランプ・システム、およびその構成要素の例
は、既に所有する米国特許第5262029号および第
5838529号で見ることができる。プロセス・ガス
は、ガス・ノズル、ガス・リング、ガス分配プレートに
よるものなど様々な方法でチャンバに供給することがで
きる。誘導結合プラズマ反応装置およびその構成要素用
の温度制御ガス分配プレートの例は、既に所有する米国
特許第5863376号で見ることができる。
【0014】一般に、プラズマ反応装置の壁にはアルミ
ニウムおよびアルミニウム合金が使用される。壁の腐食
を防止するためにアルミニウム表面に様々なコーティン
グを被覆する様々な技術が提案されている。例えば、米
国特許第5641375号は、アルミニウム・チャンバ
壁が、壁のプラズマ侵食および摩耗を低減するために陽
極化されていることを開示する。'375特許は、最終
的には、陽極化された層がスパッタリングされ、または
エッチングして除去されて、チャンバを交換しなければ
ならないことを述べている。米国特許第5680013
号は、エッチング・チャンバの金属表面にAl23をフ
レーム溶射するための技術が米国特許第4491496
号に開示されていることを述べている。'013特許
は、アルミニウムと、アルミニウム酸化物などのセラミ
ック・コーティングとの熱膨張係数の差が、腐食性環境
において、熱サイクルによるコーティングの割れ、最終
的にはコーティングの破損をもたらすことを述べてい
る。米国特許第5085727号は、プラズマ・チャン
バの壁に対するカーボン・コーティングを開示し、この
コーティングは、プラズマCVD(plasma assisted CVD)に
よって付着される。
【0015】上記チャンバ壁を保護するために、米国特
許第5366585号、第5556501号、第578
8799号、第5798016号、および第58853
56号は、ライナ構成を提案する。例えば、'585特
許は、少なくとも厚さ0.005インチ(0.127ミ
リメートル)であり、固体アルミナから機械加工される
自立式セラミック・ライナを開示する。'585特許は
また、基礎のアルミニウムを消耗することなく付着され
るセラミック層を使用することがフレーム溶射またはプ
ラズマ溶射された酸化アルミニウムによって可能になる
場合があることに言及している。'501特許は、ポリ
マーまたは石英もしくはセラミックのプロセス適合ライ
ナを開示する。'799特許は、内部に抵抗ヒータが組
み込まれた温度制御セラミック・ライナを開示し、この
セラミックは、アルミナ、シリカ、チタニア、ジルコニ
ア、炭化珪素、炭化チタン、炭化ジルコニウム、窒化ア
ルミニウム、窒化ホウ素、窒化珪素、および窒化チタン
であってよい。'016特許は、セラミック、アルミニ
ウム、鋼および/または石英のライナを開示し、アルミ
ニウムは、機械加工が容易にできるため好ましく、酸化
アルミニウム、Sc 23またはY23のコーティングを
有し、プラズマからのアルミニウムの保護のためにアル
ミニウムを被覆するのにはAl23が好ましい。'35
6特許は、アルミナのセラミック・ライナと、CVDチャ
ンバ内で使用するウェハ受台用の窒化アルミニウムのセ
ラミック・シールドとを開示する。米国特許第5904
778号は、チャンバ壁、チャンバ・ルーフ、またはウ
ェハのまわりのカラーとして使用する自立式SiC上の
SiC CVDコーティングを開示する。米国特許第52
92399号は、ウェハ受台を取り囲むSiCリングを
開示する。焼結されたSiCを調製するための技術は、
米国特許第5182059号に開示されている。
【0016】シャワーヘッド・ガス分配システムなどプ
ラズマ反応装置構成要素に関し、シャワーヘッドの材料
に関して様々な提案がなされている。例えば、既に所有
する米国特許第5569356号は、シリコン、グラフ
ァイト、または炭化珪素のシャワーヘッドを開示する。
米国特許第5888907号は、アモルファス・カーボ
ン、SiCまたはAlのシャワーヘッド電極を開示す
る。米国特許第5006220号および第502297
9号は、高純度SiCの表面層を付与するために、全体
がSiCからなる、またはCVDによって付着されたSi
Cで被覆されたカーボンのベースからなるシャワーヘッ
ド電極を開示する。
【0017】半導体処理機器の構成要素に関する高い純
度および耐食性の要求に鑑みて、本技術分野では、その
ような構成要素に使用される材料および/またはコーテ
ィングの改良が必要となっている。さらに、チャンバ材
料に関しては、プラズマ反応装置チャンバの耐用寿命を
延ばし、それにより装置のダウンタイムを低減する任意
の材料が、半導体ウェハを処理するコストを低減するの
に有益である。
【0018】(発明の概要)本発明は、半導体基板処理
に用いられるプラズマ反応チャンバ内の部品のエラスト
マ接合アセンブリを提供する。部品は、基板支持体、ガ
ス分配システム、ライナ、電極、窓、温度制御された表
面などの構成要素を含む。エラストマ接合アセンブリ
は、結合面を有する第1の部分と、第1の部分の結合面
に係合する結合面を有する第2の部分と、第1の部分と
第2の部分の間のエラストマ接合とを備え、エラストマ
接合が、第1の部分を第2の部分に弾性的に取り付け、
それによりその温度サイクル中に第1の部分と第2の部
分の間の移動を可能にする。
【0019】エラストマ接合は、真空環境に適合し、2
00℃までの200℃を含めた温度で熱による劣化に対
する抵抗性があるポリマー材料を備えることができる。
エラストマ接合は、ポリマー材料と、電気および/また
は熱伝導粒子のフィラーとを含むことができる。ポリマ
ー材料は、ポリイミド、ポリケトン、ポリエチルケト
ン、ポリエチルスルホン、ポリエチレンテレフタル酸
塩、フルオロエチレンプロピレン共重合体、セルロー
ス、トリアセテート、またはシリコーンを備えることが
できる。フィラーは、5〜20重量%のシリコンを有す
るアルミニウム合金などの金属粒子であってよい。エラ
ストマ接合は、噛合いおよび/またはセルフアライニン
グ構成を付与するような輪郭にされた結合表面間に配置
することができる。
【0020】1つの実施形態によれば、本発明は、半導
体基板処理用のプラズマ反応チャンバに用いる電極アセ
ンブリを提供する。電極アセンブリは、結合面を有する
支持部材と、高周波電極と、それらの間のエラストマ接
合とを含む。電極は、反応チャンバ内で処理すべき半導
体基板に面するように意図された露出面と、エラストマ
接合によって支持部材の結合面に接合される電極の外縁
部にある結合面とを有する。エラストマ接合は、アセン
ブリの温度サイクル中に支持部材に関して電極が動くこ
とを可能にするため、熱的不一致および/または熱的勾
配を補償する。
【0021】好ましい実施形態によれば、電極がシャワ
ーヘッド電極を備え、電極アセンブリは、シャワーヘッ
ド電極の背面にプロセス・ガスを供給するガス経路を有
する温度制御部材に着脱可能に取り付けられる。この場
合、温度制御部材は、任意選択で、キャビティと、キャ
ビティ内に位置された1つまたは複数のバッフル板とを
含むことができ、それによりガス経路は、キャビティ内
にプロセス・ガスを供給し、バッフルおよびシャワーヘ
ッド電極の出口を通って進む。エラストマ接合を収容
し、電極の外縁部の周りに完全に延びるシールを提供す
るように、電極および/または支持部材内に凹部を配置
することができる。電極は、均一または不均一な厚さの
円形シリコン・ディスクを備えることができ、エラスト
マ接合は、金属粒子など導電性フィラーを有する導電性
材料を備えることができる。フィラーは、電極と支持部
材の間に直接電気的接触を付与することが好ましい。
【0022】本発明はまた、プラズマ反応チャンバで有
用なシャワーヘッド電極など高周波出力電極を組み立て
る方法を提供する。この方法は、電極と支持部材の1つ
または複数の結合表面にエラストマ結合材料を塗布する
こと、電極と支持部材を組み立てること、電極と支持部
材の間にエラストマ接合を形成するために結合材料を硬
化することを含む。結合表面は、好ましくは、後で硬化
されるプライマで被覆され、かつ/または結合材料が、
電極および/または支持部材に塗布される前にガス泡を
除去するために真空環境内で高密度化工程が施される。
好ましい実施形態では、エラストマ結合材料は、グラフ
ァイト支持リング内の浅い凹部に塗布され、シリコン電
極は、接合の硬化中に支持リングに対して押圧される。
【0023】本発明はまた、プラズマ反応チャンバ内で
半導体基板を処理する方法を提供する。この方法は、ウ
ェハなど半導体基板をプラズマ反応チャンバに供給する
ことと、プロセス・ガスをチャンバに供給することと、
電力を電極アセンブリに供給することによって基板を処
理することとを含む。電極アセンブリは、電極および支
持部材を含み、電力は、電極を支持部材に結合するエラ
ストマ接合を介して電極に移り、それによりアセンブリ
の温度サイクル中に支持部材に関して電極が動く。電極
は、シャワーヘッド電極であってよく、プロセス・ガス
は、プラズマ反応チャンバ内に取り付けられた温度制御
部材内のガス経路を介してチャンバに供給することがで
き、それによりプロセス・ガスがシャワーヘッド電極の
露出表面を通過する。支持部材は、温度制御部材に着脱
可能に取り付けられたグラファイト・リングであってよ
く、電極は、単にエラストマ接合によってグラファイト
・リングに接合されたシリコン・ディスクであってよ
い。
【0024】本発明は、プラズマ・チャンバ、基板支持
体、ガス供給部、エネルギー源、セラミック・ライナ、
および弾性支持部材を含む半導体基板を処理するための
プラズマ処理システムを提供する。プラズマ処理チャン
バは、チャンバ側壁によって境界を画された内部空間を
有し、基板がその上で処理される基板支持体は、内部空
間内に配置される。チャンバ側壁は、基板支持体の周縁
部の外側に間隔をあけて配置され、ガス供給部は、プロ
セス・ガスを内部空間に供給する。エネルギ源は、基板
の処理中に、内部空間内のプロセス・ガスを励起してプ
ラズマ状態にする。セラミック・ライナは、チャンバ側
壁と基板支持体の周縁部との間で、弾性支持部材によっ
て支持される。
【0025】好ましい実施形態によれば、弾性支持部材
は、エラストマ接合または弾力的に湾曲可能な金属フレ
ームを備え、セラミック部材は、一片セラミック・ライ
ナ、またはセラミック・タイルのアセンブリを備える。
例えば、セラミック部材は、セラミック・タイルと金属
裏当て部材のアセンブリを備えることができ、弾性支持
体は、各セラミック・タイルを金属裏当て部材の夫々の
1つに取り付けるエラストマ接合を備えることができ
る。金属裏当て部材は、熱的に制御された部材によって
支持された弾力的に湾曲可能な金属フレーム上に支持す
ることができ、それによりエラストマ接続、金属裏当て
部材、および湾曲可能金属フレームを介して熱的に制御
された部材に延在する熱経路を介して、セラミック・タ
イルから熱を引き出すことができる。別法として、セラ
ミック部材は、セラミック・タイルのアセンブリを備え
ることができ、弾性支持体は、各セラミック・タイルと
チャンバ側壁の間にエラストマ接合を備えることができ
る。
【0026】他の実施形態によれば、ヒータは、セラミ
ック部材を加熱することができるように湾曲可能な金属
フレームによって支持される。弾性支持部材は、内側フ
レーム部材および外側フレーム部材を含む弾力的に湾曲
可能な金属フレームを備えることができる。弾性支持部
材はさらに、セラミック部材と内側フレーム部材の間に
エラストマ接合を備えることができ、内側フレーム部材
は、外側フレーム部材によって支持され、外側フレーム
部材はチャンバによって支持され、タイルは、一連の噛
み合わされたセラミック・タイルである。好ましくは、
セラミック部材が、一片SiCライナまたは複数のSi
Cタイルを備える。
【0027】弾性部材は、プラズマ処理システムの動作
中にセラミック部材とフレーム部材に対する示差熱応力
に適合可能なように構成された内側および外側金属フレ
ーム部材を備えることができる。そのような構成では、
外側フレーム部材の上側部分をチャンバの熱的に制御さ
れた部分によって支持することができ、外側フレーム部
材の下側部分を、内側フレーム部材の下側部分に取り付
けることができ、セラミック部材を内側フレーム部材に
よって支持することができる。さらに、湾曲可能な金属
フレームが、連続的な上側部分と、区分化された下側部
分を含むことができる。例えば、湾曲可能な金属フレー
ムは円筒形であってよく、区分化された下側部分は、軸
方向に延在するスロットによって互いに分離された軸方
向延在セグメントを備えていてよい。内側および外側金
属フレーム部材が円筒形であり、連続的な上側部分と区
分化された下側部分を含む場合、区分化された下側部分
は、軸方向に延在するスロットによって互いに分離され
た軸方向延在セグメントを備える。
【0028】本発明の他の実施形態によれば、ライナが
さらに、セラミック部材の下側部分から内側に延在する
セラミック・プラズマ・スクリーンを含み、セラミック
・プラズマ・スクリーンは、プロセス・ガスおよび反応
副生成物が基板の処理中にチャンバの内部から除去され
る経路を含む。例えば、セラミック・プラズマ・スクリ
ーンは、チャンバ側壁と基板支持体の間の環状空間内に
支持された複数のセグメントを備えることができ、経路
は、チャンバ側壁から半径方向内側に延在するスロット
を備える。セラミック・プラズマ・スクリーンは、導電
エラストマ接合によって弾性支持部材に取り付けること
ができ、弾性支持部材は、湾曲可能な金属フレームを備
え、プラズマ・スクリーンは、エラストマ接合によって
湾曲可能な金属フレームに電気的に接地されている。
【0029】さて、本発明は、さらに図面を参照して記
述されている。
【0030】(発明の詳細な説明)本発明の電極アセン
ブリは、電極と支持部材との間の熱的不一致による応力
により良く適合することを可能にして電極の寿命を延ば
し、電極をより高い温度に対して露出させることを可能
にして反応装置を高出力で動作させることを可能にし、
電極の製造および組立てのコストを低減し、反応装置の
動作中に電極の中心から外周縁へのより高い平坦性を付
与して半導体基板の均一なプラズマ処理を可能にするこ
とによって、図1に示される従来技術の電極アセンブリ
の欠点を克服する。プラズマ処理は、酸化物層などの材
料のエッチング、フォトレジストなど材料のストリッピ
ング、SiO2などの層の付着などを含む。しかし、本
発明の主要な利点は、電極構成要素の熱膨張率の不一致
および/または熱勾配による電極アセンブリにおける応
力を低減し、プラズマ反応装置の高出力動作を可能にす
ることである。
【0031】本発明によるシャワーヘッド電極アセンブ
リは、電極と、支持部材と、電極を支持部材に弾性的に
結合するためのエラストマ接合とを含む。したがって、
本発明は、図1に示される構成に関して上述した様々な
欠点をもたらす可能性がある支持リングに対する電極の
はんだ結合の必要性を回避する。
【0032】電極アセンブリは定期的に交換しなければ
ならない消耗部品であるため、電極は、反応装置の永久
部品に機械的にクランプすることができるリングの形を
した支持部材に結合されることが好ましい。例えば、電
極アセンブリのリングを、プロセス・ガス(例えば、ウ
ェハ上の二酸化珪素または他の材料の層をエッチングす
るための適切なプラズマ・エッチング・ガス)を供給す
るためのガス経路を有する温度制御部材に着脱可能に取
り付けることができ、ガス経路は、バッフル板を含むキ
ャビティ内へ、かつ電極の出口を介して外側へ進む。し
かし、望むならば、電極アセンブリは、電極がシャワー
ヘッド電極でなく、かつ/または支持部材がリングの形
でない他の構成を有することができる。例えば、電極
は、電極の穴と連絡するガス分配穴を有する裏当て板に
結合されたシャワーヘッド電極であってよい。他の可能
性は、電極が、板、円筒体、ベース部材上の突起などの
形をした支持部材に結合されることである。
【0033】本発明の好ましい実施形態によれば、支持
部材はリングの形をしており、一端に半径方向外側に延
在するフランジを有し、単一ウェハ・プラズマ・エッチ
ングに使用されるタイプのものなどプラズマ反応チャン
バの内部に位置された温度制御部材に電極アセンブリを
着脱可能に取り付ける。組み立てられた状態では、温度
制御部材の上側表面にある冷却チャネルが、電極アセン
ブリを冷却する水を供給することができる。
【0034】電極は、プレーナ・シリコン(例えば単結
晶シリコン)などの導電性材料からなり、グラファイト
または炭化珪素電極ディスクは、その中心から外縁部へ
均一な厚さを有する。しかし、本発明による電極アセン
ブリと共に、不均一な厚さ、異なる材料を有し、かつ/
またはプロセス・ガス分配穴を有しない電極を使用する
こともできる。好ましい実施形態では、電極は、複数の
空間を置いたガス排出路を備えるシャワーヘッド電極で
あり、排出路は、電極によって励起され、電極の下方の
反応チャンバ内にプラズマを形成するプロセス・ガスを
供給するのに適したサイズおよび分配になっている。し
かし、本発明による電極アセンブリの一部として、プラ
ズマ反応装置または真空環境で有用な任意のタイプの電
極を使用することができ、そのような電極としてはスパ
ッタ電極が挙げられる。
【0035】エラストマ接合は、真空環境に適合し、か
つ例えば200℃を超える高温での熱による劣化に対す
る抵抗性があるポリマー材料など任意の適切なエラスト
マ材料を備えることができる。エラストマ材料は、任意
選択で、電気および/または熱伝導粒子のフィラー、ま
たはワイヤ・メッシュ、織布または不織布導電性繊維な
ど他の形状のフィラーを含むことができる。160℃を
超えるプラズマ環境で使用することができるポリマー材
料としては、ポリイミド、ポリケトン、ポリエチルケト
ン、ポリエチルスルホン、ポリエチレンテレフタル酸
塩、フルオロエチレンプロピレン共重合体、セルロー
ス、トリアセテート、シリコーン、およびゴムが挙げら
れる。高純度エラストマ材料の例としては、General El
ectric社からRTV133およびRTV167として市販されている
1成分の室温で硬化する接着剤、General Electric社か
らTSE3221として市販されている1成分の流動可能
であり(例えば100℃を超えて)熱硬化可能な接着
剤、Dow Corning社から「SILASTIC」として市販されてい
る2部分付加硬化エラストマが挙げられる。特に好まし
いエラストマは、Rhodia社からV217として市販されてい
る触媒硬化、例えばPt硬化エラストマなどのポリジメ
チルシロキサン含有エラストマ、250℃以上の温度で
安定なエラストマである。
【0036】エラストマが導電性エラストマである場
合、導電性フィラー材料は、導電性金属または金属合金
の粒子を備えることができる。プラズマ反応チャンバの
不純物感受性環境内で使用するのに好ましい金属は、5
〜20重量%シリコン含有アルミニウムベース合金など
のアルミニウム合金である。例えば、アルミニウム合金
は、約15重量%のシリコンを含むことができる。
【0037】最終的に形成される接合の弾力性限界範囲
内に留めるためには、取り付けるべき部材の少なくとも
1つに1つまたは複数の凹部を提供することが有用であ
ることが判明している。すなわち、あまりに薄い接合
は、熱サイクル中に断裂する可能性があり、あまりに厚
い接合は、接合された部分間の電力伝送および/または
熱結合に影響を与える可能性がある。シリコン電極をグ
ラファイト支持リングに取り付ける場合、グラファイト
・リングに凹部を設けて、電極と支持リングの間に、適
切な電気的結合を付与するのに十分薄く、それでも電極
と支持リングの間の熱的不一致に適合させるのに十分に
厚いエラストマの層を維持することができる。例とし
て、約45〜55体積%のフィラー含有量であり、平均
フィラー粒子サイズが0.7〜2μmである熱伝導エラ
ストマの場合、凹部は、約2ミル(約50μm)の深さ
を有することができる。凹部を取り囲む接点領域では、
個々の粒子が対向する接触面を橋渡しするため、エラス
トマは十分薄く、バルク・エラストマによって示される
よりも高い導電性を付与する。さらに、適切にサイズを
取られた粒子と溝深さの組み合わせが、接合を介する高
周波電流の経路を与える。接合を介するより良い直流パ
スを付与するためにフィラー含有量が65〜70体積%
を超えて増大された場合、そのような高いフィラー含有
量が、接合の弾力性に悪影響を及ぼす可能性がある。し
かし、電極と支持部材の間の容量結合により、エラスト
マ接合の薄い領域を介して電極に十分な高周波出力を供
給することができるため、電気および/または熱伝導エ
ラストマを使用する必要がない。そのような薄い接合は
また、電極と支持部材の間の適切な熱伝導性を付与す
る。
【0038】電極と支持部材の結合表面は、平面または
非平面であってよい。例えば、一方の結合表面が平面で
あってよく、他方が上述した結合材料を受け取るための
凹部を含んでいてよい。別法として、結合表面は、噛合
いおよび/またはセルフアライニング構成を付与するよ
うな輪郭にすることができる。エラストマ結合材料の接
着性を高めるために、結合表面は、好ましくは適切なプ
ライマで被覆される。結合材料が上述したV217材料であ
る場合、プライマは、Phodia's社 VI-SIL V-06Cなどの
脂肪族溶媒中のシロキサンであってよい。
【0039】プライマは、ワイピング、ブラッシング、
吹き付けなど任意の適切な技法によって薄いコーティン
グとして塗布することができ、後に塗布される結合材料
のために結合表面上に結合部位を形成する。プライマが
溶媒を含有する場合、ワイピングによるプライマの塗布
は、結合表面を洗浄することによって結合を高めること
ができる。シロキサン含有プライマは、空気と反応し
て、室温で空気中で硬化されるときにSi結合部位を作
成する。そのようなプライマは、余分なプライマ位置が
粉末状に現われることで、結合部位の量の視覚的な表示
が与えられる。プライマは、結合表面をコンディショニ
ングするための簡単かつ効果的な技術を提供するが、酸
素プラズマ中での表面の処理など他のコンディショニン
グ技法を使用することもできる。
【0040】良質のエラストマ接合を付与するために、
エラストマ結合材料を、結合表面に塗布する前に高密度
化することが望ましい。例えば、エラストマ結合材料
に、周囲温度または高温で、真空環境内で振動を与える
ことができる。1Torr未満、好ましくは500mTorr未
満の真空圧を使用して、結合材料をガス抜きすることが
できる。真空は、真空によって生成される泡の消散を高
めるように高密度化処理中に1回または複数回排気する
ことによって脈動させることができる。一例として、約
200mTorrの真空を、30分間にわたって4回または
5回脈動させることができる。エラストマ結合材料中の
フィラーの存在もまた、真空中に形成される泡の消散を
助ける。攪拌/パルス真空を用いないと、エラストマ結
合材料は、真空下でその開始体積の約10倍まで膨張
し、それにより、材料中に新たなエア・ポケットをもた
らす可能性がある貯蔵および洗浄の問題を引き起こす。
そのようなガス部位は、結合材料の硬化中に泡を形成す
る可能性があり、そのため最終的に形成される接合を劣
化させる。
【0041】結合表面のマスキングは、接合が形成され
た後に、取り囲む表面を保護し、余分な結合材料を除去
する有用な方法を提供する。プラズマ反応装置の構成要
素として使用される高純度材料として、シリコン/グラ
ファイト適合接着剤を有するMYLARやKAPTONなどのポリ
エステルおよび/またはポリイミド材料を使用すること
ができる。シリコン・シャワーヘッド電極の場合、MYLA
Rテープを用いて電極上のガス導出口をカバーすること
が望ましく、電極の外縁部は、KAPTONテープのストリッ
プを用いてカバーすることができる。グラファイト支持
リングの場合、内縁部および外縁部を、KAPTONテープの
ストリップを用いてカバーすることができる。接合が形
成された後に余分な結合材料を除去することを助けるた
めに、マスキング材料にプライマを塗布して、そこへの
エラストマ結合材料の固着を促進することが有用であ
る。このようにすると、マスキング材料が結合部分から
除去されるとき、マスキング材料に接着された余分な結
合材料も除去される。
【0042】エラストマ結合材料は、結合表面の一方ま
たは両方に塗布することができる。シリコン電極とグラ
ファイト支持リングの場合、グラファイト支持リングの
方がより多くの孔が開いているため、グラファイト支持
リングに結合材料を塗布することが望ましい。例えば、
結合材料のビードを、支持リングの周りに完全に延在す
る凹部内に塗布することができる。結合材料の量は、最
終的に形成される接合の体積を超えることが好ましい。
一例として、結合材料を、接合を形成するために必要な
量の約5倍の量だけ塗布することができる。
【0043】結合材料が少なくとも1つの結合表面に塗
布された後、結合材料に高密度化ステップを施すことが
できる。例えば、グラファイト・リングを結合材料が塗
布された状態で前述した真空環境内に配置して、結合材
料を塗布するステップ中に導入されるガス泡を除去する
ことができる。
【0044】結合材料が少なくとも1つの結合表面に塗
布された後、結合表面が互いに押圧されるように部品を
組み立てることができる。上述した電極および支持リン
グの場合、電極を取付具内に保持することができ、取付
具のプラスチック・ピンを使用して支持リングを案内
し、電極と正確に接触するようにすることができる。最
初に、手による圧力などわずかな圧力を使用して、形成
すべき接合全体にわたってエラストマを広げることがで
きる。エラストマが広がった後、30ポンド(約14k
g)のおもりなど静止負荷を、結合の硬化中に電極に加
えることができる。
【0045】大気中または保護ガス環境中で、周囲温度
または高温で結合を硬化することができる。例えば、ア
センブリを、対流オーブン内に配置し、低温まで加熱し
て、接合すべき部分内に熱による歪みを誘発することな
く結合の硬化を加速することができる。上述した電極お
よび支持リングの場合、適切な時間、例えば3〜5時
間、60℃未満、例えば45〜50℃の温度を維持する
ことが望ましい。
【0046】結合を硬化してエラストマ接合を形成した
後、アセンブリが冷却され、マスキング材料が除去され
る。さらに、任意の追加の洗浄、および/または真空オ
ーブン内のガス抜きなどのさらなる製造ステップを、ア
センブリ動作の要件に応じて実施することができる。
【0047】図2は、本発明の1つの実施形態によるシ
ャワーヘッド電極構成40を示す。電極構成40は、電
極42と、導電性支持リング44とを含む。この電極ア
センブリは、図1に示される電極10および支持リング
12によって構成される電極アセンブリの代用とするこ
とができる。電極40は、図3に示されるように、凹部
48内に配置することができるエラストマ接合46によ
って電極42が支持リング44に結合されているという
点で、図1に示されるIn結合アセンブリとは異なる。
【0048】本発明の1つの実施形態によれば、凹部4
8は、支持リング44の内壁(図示せず)と外壁50の
間で支持リング44のまわりに連続的に延在する。各壁
50は、できるだけ薄く、例えば幅約30ミルにするこ
とができ、それによりエラストマが、各壁50と接触し
た領域内に薄層(例えば、エラストマが0.7〜2μm
サイズのフィラーを含む場合には厚さ約2μm)を形成
し、凹部48内により薄い層(例えば約0.0025イ
ンチ(0.063mm))を形成することができる。壁
によって形成される凹部は、極端に浅く、例えば深さ約
2ミルにすることができ、電極を支持リングに接着結合
し、それでも電極アセンブリの温度サイクル中に支持リ
ングに関して電極が動くことを可能にするのに充分な強
度を有する非常に薄いエラストマ接合を提供する。さら
に、凹部の壁は、反応装置内のプラズマ環境による腐食
からエラストマ接合を保護することができる。
【0049】電極アセンブリ寸法は、電極アセンブリの
所望の使用の要件を満たすように適合させることができ
る。一例として、電極を使用して8インチ(約20c
m)ウェハを処理する場合、電極は、9インチ(約23
cm)よりもわずかに小さい直径を有することができ、
支持リングは、電極と支持リングの間の境界面で0.5
インチ(約1.3cm)よりもわずかに小さい幅を有す
ることができる。例えば、境界面にある支持リングが、
内径8インチ(約20cm)を有し、境界面での外径
8.8インチ(約22cm)を有することができる。そ
のような場合、電極と支持リングの間の境界面は、幅約
0.4インチ(約1.0cm)を有することができ、凹
部は、壁が幅0.030インチ(約0.76mm)であ
る場合に幅0.34インチ(約0.86cm)を有する
ことができる。
【0050】接合の具体的な例を記述したが、プラズマ
反応装置環境内で受ける高温およびプラズマ状態下で接
合が充分な強度を有するならば、他のエラストマ接合を
利用して、支持リングまたは他の構成の形の支持部材に
電極を取り付けることができる。エラストマ結合は、好
ましくは、真空適合性があり、十分な靭性、引張強さ、
弾力性、熱による劣化に対する抵抗性、熱伝導性、およ
び/または導電性を有する。電極がシャワーヘッド電極
である場合、エラストマ接合は、電極の重量、およびシ
ャワーヘッド電極に供給されるプロセス・ガスのガス圧
に耐えられなければならない。
【0051】本発明によれば、電極を支持リングに取り
付けるためにエラストマ材料を使用することは、インジ
ウム結合電極と比べると、電極の破損の可能性を低減さ
せること、熱疲労による支持リングからの電極の解離の
可能性を低減させること、歪曲を減少させ、それにより
電極アセンブリの温度サイクル中に支持リングと温度制
御部材の熱的接触を改善すること、電極と支持リングの
間での良好な容量結合/電気コンタクトを維持すること
によって電極に対する電力供給を改善すること、粒子ま
たは不純物からのチャンバ汚染を減少すること、および
/またはより高い温度に耐えることができる電極アセン
ブリの能力によって電力能力を高めることに関して利点
がある。
【0052】本発明による装置は、複数または単一のウ
ェハ処理におけるプラズマ・エッチングや付着などのウ
ェハ処理に有用である。例えば、この装置を使用して、
BPSG、熱的二酸化珪素または熱分解酸化物などの酸化
物、フォトレジスト材料をエッチングする、または付着
することができる。この装置は、サブミクロンのコンタ
クト・プロファイル、CD、および低い粒子汚染の望まし
いレベルを維持することができる。BPSGのエッチングに
関しては、約8000Å/分のエッチ・レートを達成す
ることができ、エッチ不均一性を、30000RF分よ
りも大きい電極寿命に関して約4%で維持することがで
き、In結合電極アセンブリでは、2400RF分ほど
の速さで交換を必要とする場合がある。約8000Å/
分のフォトレジスト・エッチ・レートを維持することが
でき、一方二酸化珪素のエッチングは約6000Å/分
である。CDライン測定に関しては、二酸化珪素にバイア
を提供するために200秒間エッチングされたウェハの
SEMによる測定が、0.02μm未満の中心および縁部C
Dを提供することができる。
【0053】本発明の別の実施形態によれば、セラミッ
ク・ライナが設けられ、以下の目的の1つまたは複数を
達成することができる:ライナを介して接地への電気パ
スを提供することによって良好なプラズマ形成を維持す
ること、ライナの熱制御を提供することによってプロセ
ス・ドリフトを避けること、弾性ライナ支持体を提供す
ることによってセラミックと金属構成要素との間の示差
熱膨張を克服すること、処理される基板からAlチャン
バ壁および構成要素を遮蔽することによってAl汚染を
防止すること。ライナの電気的接地に関して、セラミッ
ク・ライナは導電性材料からなる。好ましいセラミック
材料はSiまたはSiC、高純度で得ることができ、か
つプラズマ・エッチング・チャンバなどのプラズマ反応
装置内の腐食条件に対して高い耐性を示すことが判明し
ている材料である。
【0054】本発明は、セラミック・ライナを含めた様
々な構成要素がSiまたはSiCからなるプラズマ・チ
ャンバを提供する。そのような材料は、SiまたはSi
Cのプラズマ侵食が、基板の粒子汚染を伴わずにチャン
バから排気することができるガス状SiまたはC化合物
を生成するため、プラズマ環境に適合している。熱制御
に関して、SiCは非常に高い熱伝導性を示すことが判
明しており、シリコン・ウェハなどの基板の処理中に所
望の温度範囲までライナを加熱または冷却することが可
能である。示差膨張を克服することに関して、本発明に
よる弾性支持体は、セラミック・ライナがチャンバ内部
で自由に膨張または収縮することを可能にするように設
計されている。Al汚染を防止することに関して、セラ
ミック・ライナは、ライナの内側にプラズマを閉じ込
め、それによりプラズマによるAl壁または構成要素の
腐食を回避する。
【0055】本発明による真空処理チャンバは、エッチ
ング、付着、レジスト・ストリッピングなど様々な半導
体プラズマ処理ステップに使用することができる。誘導
結合プラズマ源を有する真空処理チャンバ2の一例が図
4に示され、処理ガスは、ガス分配リング、ガス分配プ
レート、注入ノズルなど適切な装置によって処理チャン
バ2に供給され、真空は、適切な真空ポンプ装置によっ
てチャンバの内部4中で維持されている。チャンバ内で
処理すべき基板は、基板支持体8上に支持されたシリコ
ン半導体ウェハ6を含むことができる。基板支持体8
は、静電チャックおよびフォーカスリング10を含むこ
とができる。真空ポンプは、プロセス・チャンバの底部
など端壁にある大きな出口12に接続することができ
る。真空処理チャンバは誘電体窓14およびガス分配プ
レート16を含むことができ、高周波出力を、チャンバ
の頂部など端壁にある誘電体窓14の外側にあるプレー
ナ・コイル18など外部高周波アンテナを介してチャン
バに供給することができる。ただし、プラズマ発生源
は、ECR反応装置、平行板反応装置、ヘリコン反応装
置、らせん状反応装置など任意の他のタイプのプラズマ
発生機器であってよい。プラズマ発生源は、チャンバの
端壁に着脱可能に取り付けられる環状取付フランジなど
モジュール式取付構成に取り付けることができる。
【0056】取付フランジとチャンバ2の間の真空密閉
シールを維持するために、適切なOリング・シールを、
チャンバ2の端壁にある溝内部に嵌合することができ、
高周波遮蔽部材が真空シールを取り囲むことができる。
真空ポンプによって大きな真空負圧が付与される場合、
取付フランジをチャンバ2に取り付けるためのファスナ
を利用する必要がない。その代わりに、単に取付フラン
ジをチャンバ2の端壁に位置することができる。望みで
あれば、プラズマ発生源アセンブリの取付フランジまた
は別の部分をチャンバ2に蝶着することができ、それに
よりプラズマ発生源を、チャンバ2の内部4に働くよう
に垂直方向などの方向に枢動することができる。
【0057】チャンバは、シリコンや炭化珪素ライナ2
0などのセラミック・ライナを含む。ウェハを取り囲む
空間内にプラズマを閉じ込めるためのプラズマ・スクリ
ーン22は、ライナ20の下端から内側に延在する。ラ
イナ20は、内側支持フレーム24および外側支持フレ
ーム26を含む弾性により湾曲可能なフレームによって
支持することができる。基板の処理中に所望の温度でラ
イナを維持するために、ヒータ28が内側フレーム支持
体24の頂部に設けられる。動作中、ヒータ28は、ラ
イナ20を加熱するのに効果的であり、ライナ20から
の熱の除去は、内側および外側フレームを介してライナ
から熱を引き出す温度制御部材30によって達成するこ
とができる。
【0058】図5に示されるように、チャンバは、そこ
に様々なプラズマ発生源を取り付けることを可能にする
モジュラ設計を有することができる。さらに、基板支持
体8を、片持ち形式で取り付けられた支持アームの一端
に支持することができ、それによりチャンバの側壁にあ
る開口32を介してアセンブリを通すことによって、基
板支持体/支持アーム・アセンブリ全体をチャンバから
除去することができる。
【0059】本発明の第1の実施形態によれば、図6お
よび7に示されるように、プラズマ・チャンバ・ライナ
20が、平坦タイル34などの噛合いセラミック・ライ
ナ要素を備える。プラズマに関する電気的接地パスを付
与するために、ライナ要素は、シリコンや炭化珪素など
の導電性材料であることが好ましい。そのような材料
は、アルミニウムを含まず、それにより処理される基板
のAl汚染を低減するという点で付加的な利点を付与す
る。1つの好ましい実施形態によれば、SiCタイル
が、アルミニウム裏当て板36に結合されている。1つ
の好ましい結合材料は、SiCとAlの異なる熱膨張率
によって生じる横方向応力を吸収することができる導電
性エラストマ38である。各タイルと裏当て板とのアセ
ンブリは、内側フレーム42および外側フレーム44を
含む弾性により湾曲可能なフレーム40によってAlチ
ャンバ壁に取り付けることができる。ライナの温度制御
は、電気リード49および温度制御部材50によって電
力を供給されるヒータ48によって達成される。
【0060】エラストマ接合は、真空環境と適合し、か
つ例えば200℃を超える高温での熱による劣化に対し
て耐性のあるポリマー材料など任意の適切なエラストマ
材料を備えることができる。エラストマ材料は、任意選
択で、電気および/または熱伝導粒子のフィラー、また
はワイヤ・メッシュ、織布または不織布導電性繊維など
他の形状のフィラーを含むことができる。160℃を超
えるプラズマ環境で使用することができるポリマー材料
としては、ポリイミド、ポリケトン、ポリエチルケト
ン、ポリエチルスルホン、ポリエチレンテレフタル酸
塩、フルオロエチレンプロピレン共重合体、セルロー
ス、トリアセテート、シリコーン、およびゴムが挙げら
れる。高純度エラストマ材料の例としては、General El
ectric社からRTV133およびRTV167として市販されている
1成分の室温で硬化する接着剤、General Electric社か
らTSE3221として市販されている1成分の流動可能であ
り(例えば100℃を超えて)熱硬化可能な接着剤、Do
w Corning社から「SILASTIC」として市販されている2部
分付加硬化エラストマが挙げられる。特に好ましいエラ
ストマは、Rhodia社からV217として市販されている触媒
硬化、例えばPt硬化エラストマなどのポリジメチルシ
ロキサン含有エラストマ、250℃以上の温度で安定な
エラストマである。
【0061】エラストマが導電性エラストマである場
合、導電性フィラー材料は、導電性金属または金属合金
の粒子を備えることができる。プラズマ反応チャンバの
不純物感受性環境内で使用するのに好ましい金属は、5
〜20重量%シリコン含有アルミニウムベース合金など
のアルミニウム合金である。例えば、アルミニウム合金
は、約15重量%のシリコンを含むことができる。
【0062】プラズマ・スクリーン52は、タイル34
の下縁部から内側に延在する。プラズマ・スクリーン5
2は、好ましくは、シリコンまたは炭化珪素などの導電
性セラミック材料からなり、プラズマを閉じ込めるのに
十分小さいが、プロセス・ガスおよび処理副生成物を真
空ポンプによって除去することを可能にする開口54を
含む。
【0063】ヒータ48は、アルミニウム鋳物内に組み
込まれた電気抵抗加熱要素を備えることができる。した
がって、加熱要素を介して電流を通電させることによっ
て、アルミニウム鋳物に熱が供給され、アルミニウム鋳
物は、内側フレーム42、アルミニウム裏当て板36、
熱伝導エラストマ38、およびタイル34に熱を伝導す
る。ヒータのアルミニウム本体の加熱および冷却中、ヒ
ータは、タイル34によって形成されたセラミック・ラ
イナよりも大きな度合で膨張する。エラストマ結合は、
そのような膨張および収縮に適応している。さらに、内
側および外側フレームを、所望の範囲内に動作温度の中
心を持っていくために所望の量の熱コンダクタンスを提
供するように構成することができる。
【0064】図8は、ウェハなどの基板を輸送スロット
55を介してチャンバに導入する、かつチャンバから除
去することができるチャンバ壁の一部を示す。図8に示
される構成では、いくつかのタイル34が、スロット5
5の近傍で軸方向により短くなっている。スロット55
は、セラミック材料の一体化片から形成することができ
る。図8は、内部支持フレームをスロット55のまわり
にどのように嵌合することができるかを詳細に示す。外
側支持フレーム(図示せず)も、同様の形で構成するこ
とができる。
【0065】ウェハとAlチャンバ壁46との間の露出
を防止するために、図9に示されるように、各タイル3
4が、隣接するタイルの結合縁部と噛み合う縁部56を
有することができる。
【0066】図10は、本発明のさらなる実施形態を示
し、セラミック・ライナが、電気および熱伝導エラスト
マによって裏当て板36に結合された連続的な自立式円
筒形ライナ70を備える。図10はまた、内側および外
側フレーム42、44の詳細を示す。図示されるよう
に、外側フレーム44は、一連の軸方向に延在するスロ
ット45aによって分離されたセグメント45を含む。
同様に、内側フレーム42は、一連の軸方向に延在する
スロット43aによって分離されたセグメント43を含
む。セグメント43、45は、円周方向で、個々の裏当
て板36と同じ幅を有する。図10に示される区分化さ
れた弾性フレームは、上述の本発明の実施形態の内側お
よび外側フレーム構成に使用することができる。
【0067】本発明のさらなる実施形態によれば、図1
1に示されるように、Alフランジ72が、熱および電
気伝導エラストマを用いて連続円筒形セラミック・ライ
ナ70の外部に結合される。薄いライナの場合、フラン
ジは、ライナの外側を実質的にカバーする長さであるこ
とが好ましい。しかし、厚いライナの場合、フランジを
より短くすることができ、例えば厚いライナに関するフ
ランジは、図8のウェハ輸送スロット71の下方に配置
されたフランジ73と同様に長さを比較的短くすること
ができる。フランジは、アルミニウムなどの金属からな
ることが好ましく、弾性により湾曲可能な支持フレーム
に取り付けるためにその底部で外側に曲げられている。
支持フレームは、支持フレームおよびフランジを介して
ライナにヒータからの熱を伝達することによってライナ
の温度制御を行うことが好ましい。
【0068】ライナから熱を除去するために、支持フレ
ームと熱的に接触する温度制御部材をヒートシンクとし
て使用して、フランジ、支持フレームを介して伝導し、
温度制御部材に入る熱流路を介してライナから熱を引き
出すことができる。フランジは、共に接続されていない
個別片であってよく、または区分化されたリングの一部
であってよい。したがって、フランジを、弾性支持体と
セラミック・シリンダの間の示差熱膨張に適応するよう
に設計することができる。シリンダに蓄積された吸収イ
オンエネルギによって生成される熱は、フランジと、フ
ランジをSiCシリンダに接続する支持体とを介してチ
ャンバに通すことができる。支持体が、区分化された内
側および外側金属フレームを含む場合、区分化された外
側支持体は、そのチャンバに取り付けられた部分に関し
て半径方向に動くことができる。ただし、本発明による
弾性支持体構成では、そのような半径方向運動が、フラ
ンジとセラミック・ライナの間のエラストマ結合に過大
応力を加えない十分に低い半径方向力を生成する。
【0069】前述の実施形態では、チャンバ内のプラズ
マを、ガス分配プレートのSiまたはSiC表面、ライ
ナ、プラズマ・スクリーン、およびプラズマ・スクリー
ンの内周縁を介して上に延在する基板支持体によって閉
じ込めることができる。SiおよびSiC表面がプラズ
マとチャンバのAl表面との間に配置されているため、
プラズマによるAl表面のスパッタリングが最小限に抑
えられ、処理されるウェハのAlによる汚染が、処理さ
れるウェハへの露出があるAl表面を有するチャンバと
比べて減少する。
【0070】ライナが、電気および/または熱伝導エラ
ストマ結合材料によってアルミニウム裏当て板に結合さ
れたSiまたはSiCタイルを備える本実施形態では、
タイルを、プラズマ・チャンバの内壁の周囲をカバーす
る形で互いに嵌合するようにサイズとし、および/また
は構成することができる。適切な接近開口が、チャンバ
の中へ、かつその外へ個々のウェハが通ることを可能に
し、プロセス監視機器など従来の付属物によって行われ
る様々な測定を可能にするように追加の開口を設けるこ
とができる。このタイルの実施形態では、チャンバの内
壁が円筒形または多角形であってよい。円筒形内壁の場
合、フレームを裏当て板と内壁の間に挿入することがで
きる、または裏当て板が、エラストマ結合材料を用いて
内壁に結合される結合湾曲面を有することができる。タ
イルは、チャンバの内部に面する平坦な長方形面を有す
ることができる。別法として、タイルの露出面を、タイ
ルがチャンバの円筒形内壁を形成するように湾曲させる
ことができる。
【0071】タイルおよび裏当て板アセンブリが、チャ
ンバの内壁の周りに延在するAl内側支持フレームにボ
ルト締結される本実施形態では、プラズマ・チャンバの
始動、動作、および停止中に生成される熱応力に適応す
ることができる。逆に、SiCの連続的なリングが支持
フレームに結合されている場合、部品と結合の応力は、
熱膨張率が異なるために過大になる。それに応じて、S
iCタイルの数を、プラズマ・チャンバ内で生じる熱の
力によって生成される部品および/または結合の応力に
対する所望の制限を達成するように選択することができ
る。
【0072】内側支持フレームの下側フランジが、Al
外側支持フレームの下縁部にボルト締結され、外側支持
フレームの上縁部にあるフランジが、チャンバの頂部に
配置された頂部プレートにボルト締結される本実施形態
では、外側支持体は、外側支持フレームの下端から頂部
フランジへ延在するスロットによって分離された垂直方
向に延在するプレートに区分化される。SiCタイル化
表面の温度制御を可能にするために、内側支持フレーム
の頂部フランジの上方に位置されたヒータを内側フレー
ムにボルト締結することができる。そのような構成で
は、ヒータは、内側支持フレームから裏当て板およびS
iCタイルへ熱伝導される熱を生成することができる。
ヒータは、チャンバの内壁の周り全体に延在する単一抵
抗ヒータを備えることができる。別法として、ヒータ
は、ライナの所望の温度制御、例えば酸化珪素などの誘
電体材料のプラズマ・エッチング中に80〜150℃の
範囲内など所望の温度でライナの内面を維持することを
達成する任意の適切なヒータ構成を備えることができ
る。
【0073】チャンバは、基板支持体を取り囲むプラズ
マ・スクリーンを含むことができる。環状スクリーン
は、任意の適切な方法によってキャリア・リングに取り
付けることができる。例えば、スクリーンを、前述した
エラストマ結合材料によってキャリア・リングに接着結
合することができる。さらに、キャリア・リングを、内
側フレームにある下側フランジにボルト締結することが
でき、それによりキャリア・リングとフランジの間にス
クリーンがクランプされる。スクリーンは、半導体製造
に関するプラズマ環境に耐えることができる任意の適切
な材料からなっている。炭化珪素がスクリーン用の好ま
しい材料である。スクリーンは、単一のユニット式リン
グまたは複数の離隔されたリング・セグメントを備える
ことができる。例えば、円周状に離隔されたセグメント
を含むことができる。
【0074】搬送スロットを介してチャンバ内に、かつ
チャンバの外にウェハが移送される本実施形態では、内
側および外側フレームがカットアウトを含み、スロット
を取り囲むタイルは、より小さいタイルがスロットの下
方に、より大きいタイルがスロットの上方にあるように
配置構成される。スロットの内部は、ウェハ経路挿入体
によって形成することができる。挿入体の好ましい材料
は、炭化珪素である。挿入体は、材料の単一部片または
材料の複数部片のアセンブリを備えることができる。中
間高さタイルおよびより短いタイルは、エラストマを用
いて、同様にサイズを取られた裏当て板に接着結合され
ることが好ましく、裏当て板は内側フレームにボルト留
めされている。
【0075】アルミニウム構成要素の露出表面が、Si
Cタイルを用いて表面をカバーすることによって避けら
れている本発明の実施形態によれば、タイルの縁部が、
互いに重なり合うように設計されることが好ましい。例
えば、タイルが結合縁部を有することができ、1つのタ
イル上の突起が隣接するタイルの凹部に受け入れられ
る。この効果は、タイルの対向する表面間に直線パスが
付与されていない任意の縁部設計によって得ることがで
きる。したがって、V字形、U字形、W字形、溝形、ノ
ッチ形、オフセット形などのタイプの縁部など、結合湾
曲または複数面縁部表面が、所望の結合タイル縁部を設
けることができる。
【0076】噛合いタイル接合は、アルミニウム構成要
素に対する露出をなくし、プラズマ反応装置の始動、動
作、および/または停止中のライナ構成要素の示差熱膨
張/収縮に適応する。例えば、ヒータからの熱および/
またはタイル上に堆積されたプラズマ・イオン熱エネル
ギが、エラストマ結合を介して、外側支持体の上、およ
びチャンバ頂部プレート内に内側支持体によって熱伝導
される。冷却チャネルを介して頂部プレートを水冷する
ことにより、外側支持体を介して伝達される熱がチャン
バから除去される。
【0077】半導体基板の処理中、プラズマがチャンバ
内で生成される前にタイルをヒータによって予熱するこ
とができる。例えば、タイルをヒータによって所望の温
度まで加熱することができ、熱制御システムを使用し
て、所望の温度でタイルを維持するようにヒータ出力を
調節することができる。プラズマがチャンバ内で生成さ
れた後、制御システムは、所望の温度を維持するために
ヒータ出力を自動的に減少することができる。さらに、
内側および/または外側支持体の熱インピーダンスを調
節して、タイル動作温度の所望の範囲を達成し、ヒータ
最大温度を制限することができる。
【0078】図12は、半導体ウェハのバッチのプラズ
マ・エッチング中の内側支持ヒータフランジ、内側支持
下側フランジ、およびタイル裏当て板での測定温度を示
す。エッチ・サイクル中のプラズマ・イオン・エネルギ
の変動から2℃の温度振動が生じた。下側フランジに関
する温度設定値は100℃であった。
【0079】セラミック・ライナがSiCの連続的な単
一片である実施形態では、SiC円筒体が、区分化され
たアルミニウム・フランジによってその下端で支持され
る。区分化されたアルミニウム・フランジは、チャンバ
によって支持された弾性により湾曲可能なフレームに取
り付けられる。他の実施形態と同様に、ヒータは、内側
支持体を介して、裏当て板の下側フランジを介して、エ
ラストマ結合を介して、SiCライナ内へ進む熱を供給
する。内側フレームの下側フランジは、外側支持フレー
ムの下側フランジに取り付けられ(例えばボルト締結さ
れ)、それによりSiCライナ、裏当て板、ならびに内
側および外側支持フレームを含むライナ構成全体が、反
応装置の水冷された頂部プレートに取り付いている外側
支持フレームの上側フランジによって支持される。個々
の裏当て板およびスロットを付けられた内側および外側
支持フレームを設けることにより、SiCライナを、反
応装置の始動、動作、および/または停止中に生成され
た示差熱応力に適応するような態様で支持することが可
能になる。例えば、ヒータは、ヒータの温度がより高い
こと、およびSiCと比べてAlの熱膨張率がより大き
いことにより、SiC円筒体よりも多く半径方向外側に
膨張することができる。相対半径方向膨張は、内側支持
の薄い垂直なたわみの曲げによって適応される。同様
に、垂直温度勾配による外側支持体の底部と頂部の間の
差分半径方向成長は、外側支持体の薄い垂直たわみによ
って適応される。
【0080】上に本発明の原理、好ましい実施形態、お
よび動作の形態を記述した。しかし、本発明は、前述の
特定の実施形態に限定されるものと解釈すべきでない。
したがって、上述の実施形態は、限定ではなく例示と見
なされるべきであり、頭記の特許請求の範囲によって定
義された本発明の範囲を逸脱することなく当業者がそれ
らの実施形態に変形を行うことができることを理解され
たい。
【図面の簡単な説明】
【図1】単一ウェハ処理用の従来技術のシャワーヘッド
電極アセンブリの側部断面図である。
【図2】本発明の1つの実施形態によるシャワーヘッド
電極アセンブリの側部断面図である。
【図3】図2に示される構成の1部分の側部断面図であ
る。
【図4】弾性フレーム上に支持されたセラミック・ライ
ナが基板支持体を取り囲む本発明の1つの実施形態によ
るプラズマ反応チャンバを示す図である。
【図5】様々な構成要素がライナを含んでいない状態で
図4のプラズマ反応チャンバを示す図である。
【図6】セラミック・ライナが裏当て板に取り付けられ
た一連のタイルを備える、本発明の1つの実施形態によ
るプラズマ反応チャンバを示す図である。
【図7】図6のプラズマ反応チャンバの斜視図である。
【図8】図6のプラズマ反応チャンバ内のウェハ導入ス
ロットの詳細を示す図である。
【図9】図6のタイルの縁部が噛合い構成で互いに嵌ま
り合う方法を示す図である。
【図10】本発明の第4の実施形態による連続的な円筒
形セラミック・ライナを支持するための弾力的に湾曲可
能なフレームの詳細を示す図である。
【図11】図10の円筒形ライナの下縁部に取り付けら
れた区分化された金属フレームの詳細を示す図である。
【図12】200回のウェハ・ランの過程にわたって、
図3に示された構成のヒータフランジ、タイル、および
下側フランジの温度を示すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 リルランド, ジョン アメリカ合衆国 カリフォルニア州 95139, サン ホセ, フィニイ ウェ イ 7403 (72)発明者 ヒューバセク, ジェロム エス. アメリカ合衆国 カリフォルニア州 94539, フレモント, ウィチトウ ド ライブ 738 (72)発明者 ケネディー, ウィリアム エス. アメリカ合衆国 カリフォルニア州 94065, レッドウッド ショアズ, ケ ープ ハッテラス コート 46 (72)発明者 マラシュチン, ロバート エ−. アメリカ合衆国 カリフォルニア州 95014, クパティーノ, エドワード ウェイ 21629 Fターム(参考) 5F004 BA04 BA20 BB29 BC01 BC03 DA01 DA07 DA16 DA18 DA22 DA23 DA25 DA26 DB03

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ反応チャンバに用いるアセンブ
    リを製造する方法であって、 第1の部材と第2の部材の1つまたは複数の結合表面に
    エラストマ結合材料を塗布すること、 前記エラストマ結合材料が前記第1の部材と前記第2の
    部材の結合表面を接合するように、前記第1の部材と前
    記第2の部材のアセンブリを形成すること、 前記第1の部材と前記第2の部材の間にエラストマ接合
    を形成するように前記エラストマ結合材料を硬化するこ
    とを備え、前記エラストマ接合により、その温度サイク
    ル中に前記第1の部材が前記第2の部材に対して動くこ
    とを可能にする方法。
  2. 【請求項2】 請求項1の方法であって、さらに、エラ
    ストマの少なくとも2成分を任意選択の導電性フィラー
    と混合することによってエラストマ結合材料を調製する
    こと、周囲温度、または周囲温度よりも高いもしくは低
    い温度で、真空環境内で前記エラストマ結合材料を高密
    度化することを含む。
  3. 【請求項3】 請求項1の方法であって、さらに、前記
    結合表面を露出しておくように前記第1の部材と前記第
    2の部材の表面にマスキング材料を塗布すること、任意
    選択で、当該マスキング材料の露出部分をプライマ材料
    で被覆して、当該マスキング材料が前記第1の部材およ
    び前記第2の部材から除去されるときに、当該エラスト
    マ接合の外に圧し出された余分なエラストマ結合材料を
    除去することを含む。
  4. 【請求項4】 請求項1の方法であって、前記第1の部
    材が凹部を備え、前記エラストマ結合材料は、当該エラ
    ストマ接合が前記凹部を埋め、かつ前記第1の部材と前
    記第2の部材の間に熱を伝導するのに十分な薄さになる
    ような量だけ塗布されている。
  5. 【請求項5】 請求項1の方法であって、前記エラスト
    マ結合材料が導電性フィラーを含み、当該エラストマ結
    合材料は、前記第1の部材と前記第2の部材の間に実質
    的に直接的な電気的接触を付与するように前記結合表面
    に塗布されている。
  6. 【請求項6】 請求項1の方法であって、前記第1の部
    材がシリコンを含み、前記第2の部材がグラファイトを
    含み、前記シリコンが単に前記エラストマ接合によって
    前記グラファイトに結合されている。
  7. 【請求項7】 請求項1の方法であって、取付具内に前
    記第1の部材および前記第2の部材を配列すること、前
    記第1の部材と前記第2の部材の間の境界面の外に余分
    な結合材料を圧し出すのに十分な圧力を加えること、前
    記エラストマ結合材料の硬化を助長させるのに十分高い
    が、前記第1の部材または前記第2の部材の熱膨張を最
    小限に抑えるのに十分低い温度で、オーブン内で前記ア
    センブリを加熱することを含む。
  8. 【請求項8】 請求項1の方法であって、前記エラスト
    マ結合材料が、前記第1の部材と前記第2の部材の間の
    十分な動作を可能にする硬化されたエラストマ接合を付
    与するようなサイズの凹部内に充填されて、プラズマ反
    応装置内でのアセンブリの使用中に前記第1の部材と前
    記第2の部材の示差熱膨張または収縮によって前記接合
    が断裂することを防止する。
  9. 【請求項9】 請求項1の方法であって、前記エラスト
    マ結合材料が、前記結合表面上に前記結合材料をセルフ
    レベリングし、かつ拡張することを達成するのに十分な
    粘度を有し、当該方法は、さらに、真空環境内に前記ア
    センブリを配置することによって結合材料をガス抜きす
    ることを含む。
  10. 【請求項10】 請求項1の方法であって、さらに、前
    記結合表面にプライマ材料を塗布すること、あるいは前
    記結合表面をプラズマ処理することを含む。
  11. 【請求項11】 プラズマ反応チャンバ内で半導体基板
    を処理する方法であって、アセンブリが、エラストマ接
    合によって第2の部材に結合された第1の部材を含み、 半導体基板を前記プラズマ反応チャンバに供給するこ
    と、 前記プラズマ反応チャンバの内部にプロセス・ガスを供
    給すること、 前記半導体基板の露出面の処理に用いられるプラズマを
    形成するプロセス・ガスを励起することを備え、前記エ
    ラストマ接合により、前記アセンブリの温度サイクル中
    に前記第1の部材と前記第2の部材とが各々に対して動
    くことを可能にする方法。
  12. 【請求項12】 請求項11の方法であって、前記半導
    体基板がシリコン・ウェハを備え、当該方法が、当該ウ
    ェハ上の材料の誘電または導電層をエッチングすること
    を含む。
  13. 【請求項13】 請求項11の方法であって、当該方法
    が、前記半導体基板上に材料の層を付着することを含
    む。
  14. 【請求項14】 請求項11の方法であって、前記第1
    の部材が電極を備え、前記第2の部材が温度制御部材を
    備える。
JP2002207379A 1998-06-30 2002-07-16 プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法 Expired - Fee Related JP4477292B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/107,471 US6073577A (en) 1998-06-30 1998-06-30 Electrode for plasma processes and method for manufacture and use thereof
US09/107,471 1998-06-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000557492A Division JP3408245B2 (ja) 1998-06-30 1999-06-30 プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法

Publications (2)

Publication Number Publication Date
JP2003133296A true JP2003133296A (ja) 2003-05-09
JP4477292B2 JP4477292B2 (ja) 2010-06-09

Family

ID=22316783

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2000557492A Expired - Fee Related JP3408245B2 (ja) 1998-06-30 1999-06-30 プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
JP2000557491A Expired - Lifetime JP3450828B2 (ja) 1998-06-30 1999-06-30 プラズマプロセス用電極およびその製造方法ならびにその用途
JP2002207379A Expired - Fee Related JP4477292B2 (ja) 1998-06-30 2002-07-16 プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2000557492A Expired - Fee Related JP3408245B2 (ja) 1998-06-30 1999-06-30 プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
JP2000557491A Expired - Lifetime JP3450828B2 (ja) 1998-06-30 1999-06-30 プラズマプロセス用電極およびその製造方法ならびにその用途

Country Status (11)

Country Link
US (4) US6073577A (ja)
EP (3) EP1092228B1 (ja)
JP (3) JP3408245B2 (ja)
KR (3) KR100426149B1 (ja)
CN (2) CN100585794C (ja)
AU (2) AU4963699A (ja)
DE (3) DE69931168T2 (ja)
ES (2) ES2264263T3 (ja)
MY (1) MY120364A (ja)
TW (1) TW423072B (ja)
WO (2) WO2000000998A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008103681A (ja) * 2006-08-01 2008-05-01 Applied Materials Inc チャンバコンポーネントを結合するための自己不動態化耐プラズマ材料
KR100920417B1 (ko) 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011511475A (ja) * 2008-02-08 2011-04-07 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
KR200454710Y1 (ko) 2008-07-07 2011-07-21 램 리써치 코포레이션 샤워헤드 전극
JP2013211268A (ja) * 2011-10-05 2013-10-10 Applied Materials Inc 対称プラズマ処理チャンバ

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP2002093777A (ja) 2000-07-11 2002-03-29 Nisshinbo Ind Inc ドライエッチング装置
WO2002009241A2 (en) * 2000-07-20 2002-01-31 Tokyo Electron Limited Electrode for plasma processing system
AU2001273538A1 (en) * 2000-07-20 2002-02-05 Tokyo Electon Limited Electrode apparatus and method for plasma processing
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
TW518690B (en) * 2000-09-14 2003-01-21 Tokyo Electron Ltd Plasma processing apparatus and its electrode plate, its electrode supporting body and its shield ring
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
JP5095058B2 (ja) * 2001-08-30 2012-12-12 株式会社日立製作所 エッチング処理装置における耐プラズマ性高分子材料からなる膜の厚さの決定方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
KR100954711B1 (ko) * 2002-04-17 2010-04-23 램 리써치 코포레이션 플라즈마 반응 챔버용 실리콘 부품
JP3868341B2 (ja) * 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
US7861667B2 (en) * 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
WO2004001817A1 (en) 2002-06-21 2003-12-31 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7543547B1 (en) 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
FR2850790B1 (fr) * 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US9032095B1 (en) 2004-01-06 2015-05-12 Juniper Networks, Inc. Routing device having multiple logical routers
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20120260422A1 (en) 2005-06-23 2012-10-18 Mmi-Ipco, Llc Thermal blankets
WO2006002371A2 (en) 2004-06-24 2006-01-05 Malden Mills Industries, Inc. Engineered fabric articles
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7247579B2 (en) 2004-12-23 2007-07-24 Lam Research Corporation Cleaning methods for silicon electrode assembly surface contamination removal
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100708321B1 (ko) 2005-04-29 2007-04-17 주식회사 티씨케이 플라즈마 식각장치의 캐소드 전극 결합구조
US7428772B2 (en) * 2005-05-19 2008-09-30 Mmi-Ipco, Llc Engineered fabric articles
US20060272941A1 (en) * 2005-06-06 2006-12-07 Simpson Wayne R Large area elastomer bonded sputtering target and method for manufacturing
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7588668B2 (en) * 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
KR101080437B1 (ko) * 2005-11-25 2011-11-04 샤프 가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR101253333B1 (ko) * 2006-06-14 2013-04-10 주성엔지니어링(주) 변형 방지용 보강재를 가지는 플라즈마 발생용 전극 및이를 이용하는 기판처리장치
US7476289B2 (en) * 2006-06-29 2009-01-13 Applied Materials, Inc. Vacuum elastomer bonding apparatus and method
JP2008016727A (ja) * 2006-07-07 2008-01-24 Tokyo Electron Ltd 伝熱構造体及び基板処理装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080121521A1 (en) * 2006-08-15 2008-05-29 Chunghwa Picture Tubes, Ltd. Plasma sputtering target assembly and manufacturing method therefor
US20140021044A1 (en) * 2006-10-02 2014-01-23 Thermal Conductive Bonding, Inc. Elastomer Bonded Rotary Sputtering Target
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP5030604B2 (ja) * 2007-01-29 2012-09-19 セイコーインスツル株式会社 ウェハ外観検査装置
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
KR101277108B1 (ko) 2007-03-30 2013-06-20 주식회사 원익아이피에스 비정질탄소막 증착공정에서의 챔버 세정 장치 및 이를이용한 챔버 세정 방법
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR100813106B1 (ko) * 2007-09-19 2008-03-17 다이섹(주) 가압접합된 캐소드 및 그 제조방법
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
CN101889329B (zh) * 2007-10-31 2012-07-04 朗姆研究公司 长寿命可消耗氮化硅-二氧化硅等离子处理部件
US8449786B2 (en) * 2007-12-19 2013-05-28 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
WO2009120862A2 (en) * 2008-03-26 2009-10-01 Gt Solar, Inc. Systems and methods for distributing gas in a chemical vapor deposition reactor
CN101980959A (zh) * 2008-03-26 2011-02-23 Gt太阳能公司 涂覆金的多晶硅反应器系统和方法
KR100978115B1 (ko) * 2008-04-10 2010-08-26 티씨비코리아(주) 플라즈마 챔버용 캐소드 전극의 제조방법 및 플라즈마 챔버용 캐소드 전극
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9017499B2 (en) * 2008-12-05 2015-04-28 The Boeing Company Bonded patches with bond line control
US10022922B2 (en) 2008-12-05 2018-07-17 The Boeing Company Bonded patches with bond line control
US8734604B2 (en) * 2008-12-05 2014-05-27 The Boeing Company Bond line control process
US8795455B2 (en) * 2008-12-05 2014-08-05 The Boeing Company Bonded patches with bond line control
TWI402137B (zh) * 2008-12-10 2013-07-21 Lam Res Corp 雙重功能電極平板與利用拋光轉盤及雙重功能電極平板拋光矽電極之方法
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
TWI511619B (zh) * 2009-07-03 2015-12-01 Advanced Micro Fab Equip Inc Electrode elements for plasma processing, internal components and methods for their manufacture and separation
DE202010014805U1 (de) 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8369345B1 (en) 2009-11-13 2013-02-05 Juniper Networks, Inc. Multi-router system having shared network interfaces
US20130196109A1 (en) 2009-11-24 2013-08-01 Mmi-Ipco, Llc Insulated Composite Fabric
SG181424A1 (en) * 2009-12-18 2012-07-30 Lam Res Corp Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
JP2011181677A (ja) * 2010-03-01 2011-09-15 Tokyo Electron Ltd フォーカスリング及び基板載置システム
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP2011256946A (ja) * 2010-06-09 2011-12-22 Tohoku Univ 減圧処理装置
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
US8468709B2 (en) 2010-11-04 2013-06-25 The Boeing Company Quick composite repair template tool and method
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) * 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
KR20130115330A (ko) * 2011-05-24 2013-10-21 한국생산기술연구원 다층 샤워헤드 및 그 밀봉방법
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
MX344029B (es) 2011-08-30 2016-12-02 Watlow Electric Mfg Sistema de arreglo termico.
US9869392B2 (en) * 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
JP2013254901A (ja) 2012-06-08 2013-12-19 Toshiba Corp シール材およびエッチング装置
EP2893066B1 (en) 2012-09-10 2021-10-06 Mmi-Ipco, Llc Insulated composite fabrics
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US11067563B2 (en) 2014-01-22 2021-07-20 Molecular Devices, Llc Replaceable ground electrode for electrophysiology, electrode rejuvenating apparatus, and related methods and systems
KR102278074B1 (ko) * 2014-06-30 2021-07-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN107078082B (zh) 2014-10-31 2021-04-09 沃特洛电气制造公司 用于加热器的热动态响应感测系统
US9826574B2 (en) 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
CN110100298B (zh) * 2016-12-27 2022-10-04 瑞士艾发科技 射频电容耦合双频率蚀刻反应器
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
US11094514B2 (en) * 2018-12-21 2021-08-17 Oumeida Applied Materials Technology Co., Ltd. Rotatable sputtering target
KR20210044568A (ko) 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
KR20210152072A (ko) * 2020-06-05 2021-12-15 삼성디스플레이 주식회사 기상 젯 증착 장치 및 기상 젯 노즐 유닛의 제조 방법
CN114188206B (zh) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其上电极组件的调节方法
KR102242198B1 (ko) * 2021-01-12 2021-04-20 김기재 반도체 에칭 공정 장비에 사용되는 실리콘 전극의 본딩 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
TW221318B (ja) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
JP3290036B2 (ja) * 1994-10-18 2002-06-10 菱電セミコンダクタシステムエンジニアリング株式会社 ドライエッチング装置およびドライエッチング方法
JPH08225947A (ja) * 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
KR100214267B1 (ko) * 1995-04-07 1999-08-02 김영환 반도체 소자 제조방법
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008103681A (ja) * 2006-08-01 2008-05-01 Applied Materials Inc チャンバコンポーネントを結合するための自己不動態化耐プラズマ材料
JP4628405B2 (ja) * 2006-08-01 2011-02-09 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバコンポーネント
KR100920417B1 (ko) 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP2011508422A (ja) * 2007-12-19 2011-03-10 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP2011511475A (ja) * 2008-02-08 2011-04-07 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
KR101625516B1 (ko) * 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
KR200454710Y1 (ko) 2008-07-07 2011-07-21 램 리써치 코포레이션 샤워헤드 전극
JP2013211268A (ja) * 2011-10-05 2013-10-10 Applied Materials Inc 対称プラズマ処理チャンバ

Also Published As

Publication number Publication date
DE69931168T2 (de) 2007-03-08
EP1105917B1 (en) 2006-05-03
US6194322B1 (en) 2001-02-27
JP3450828B2 (ja) 2003-09-29
AU4963699A (en) 2000-01-17
DE69939606D1 (de) 2008-10-30
DE69920453T2 (de) 2005-11-24
EP1092228A2 (en) 2001-04-18
TW423072B (en) 2001-02-21
JP3408245B2 (ja) 2003-05-19
KR20010071688A (ko) 2001-07-31
DE69920453D1 (de) 2004-10-28
DE69931168D1 (de) 2006-06-08
EP1105917A4 (en) 2003-05-21
KR100426149B1 (ko) 2004-04-06
WO2000000998A3 (en) 2000-08-10
CN1574211A (zh) 2005-02-02
WO2000000999A9 (en) 2000-10-12
EP1475820B1 (en) 2008-09-17
KR20030070142A (ko) 2003-08-27
US6148765A (en) 2000-11-21
WO2000000999A1 (en) 2000-01-06
US20010031557A1 (en) 2001-10-18
JP2002519863A (ja) 2002-07-02
MY120364A (en) 2005-10-31
EP1092228B1 (en) 2004-09-22
US6073577A (en) 2000-06-13
AU4856299A (en) 2000-01-17
KR100417846B1 (ko) 2004-02-05
US6376385B2 (en) 2002-04-23
ES2264263T3 (es) 2006-12-16
WO2000000998A2 (en) 2000-01-06
CN100585794C (zh) 2010-01-27
JP2002519862A (ja) 2002-07-02
ES2229731T3 (es) 2005-04-16
KR20010053289A (ko) 2001-06-25
JP4477292B2 (ja) 2010-06-09
CN1167103C (zh) 2004-09-15
KR100329974B1 (ko) 2002-03-27
EP1105917A1 (en) 2001-06-13
CN1312954A (zh) 2001-09-12
EP1475820A1 (en) 2004-11-10

Similar Documents

Publication Publication Date Title
JP3408245B2 (ja) プラズマ処理のためのエラストマ結合材と、その製造並びに利用方法
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
US6408786B1 (en) Semiconductor processing equipment having tiled ceramic liner
US6838012B2 (en) Methods for etching dielectric materials
KR100797424B1 (ko) 반도체 공정 설비
EP1989727B1 (en) SEALED ELASTOMER BONDED Si ELECTRODES AND THE LIKE FOR REDUCED PARTICLE CONTAMINATION IN DIELECTRIC ETCH
WO2008048604A1 (en) Quartz guard ring

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100222

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100311

R150 Certificate of patent or registration of utility model

Ref document number: 4477292

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130319

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140319

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees