JPH09172055A - 静電チャック及びウエハの吸着方法 - Google Patents

静電チャック及びウエハの吸着方法

Info

Publication number
JPH09172055A
JPH09172055A JP33066395A JP33066395A JPH09172055A JP H09172055 A JPH09172055 A JP H09172055A JP 33066395 A JP33066395 A JP 33066395A JP 33066395 A JP33066395 A JP 33066395A JP H09172055 A JPH09172055 A JP H09172055A
Authority
JP
Japan
Prior art keywords
dielectric
electrode
layer
electrostatic chuck
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP33066395A
Other languages
English (en)
Inventor
Teruki Tamagawa
晃樹 玉川
Mitsujiro Takahashi
光次郎 高橋
Takahiko Suzuki
貴彦 鈴木
Ryuichi Fukunishi
隆一 福西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP33066395A priority Critical patent/JPH09172055A/ja
Priority to US08/752,932 priority patent/US5777838A/en
Publication of JPH09172055A publication Critical patent/JPH09172055A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

(57)【要約】 【課題】 静電チャックに関し、ウエハを安定的な温度
で確実に保持することができるようにすることを目的と
する。 【解決手段】 第1及び第2の表面を有する電極14
と、該電極の少なくとも第1の表面を覆う第1の層16
を有する誘電体12と、該電極に通電するための手段2
2と、該誘電体の該第1の層の表面に冷却ガスを供給す
る冷却ガス供給手段26とを備え、該誘電体の該第1の
層の表面に複数の微小な突起28が設けられており、該
微小な突起28の各々は先端部が根元よりも小さい形状
に形成されていてウエハを実質的に点接触で保持するよ
うになっており、該誘電体の第1の層の表面に保持され
たウエハが該冷却ガス供給手段から供給された冷却ガス
により冷却されるようにした構成とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はCVDやエッチング
等の半導体の製造行程において使用される静電チャック
に関する。
【0002】
【従来の技術】CVDやエッチング等の半導体の製造行
程においては、ウエハが真空チャンバ内で静電チャック
により保持され、種々の処理が行われる。静電チャック
は誘電体に電極を埋設して形成され、ウエハは誘電体の
表面に載置される。ウエハは、ウエハと電極との間に作
用するクーロン力によって誘電体の表面に保持される。
このような静電チャックは例えば米国特許第43899
18号や特開平7─18438号公報に開示されてい
る。
【0003】ウエハを保持すべき誘電体の表面には円状
その他の形状の溝が形成され、これらの溝にヘリウム等
の冷却ガスが供給されるようになっている。冷却ガスは
ウエハを冷却してウエハを適切な温度に制御する。誘電
体の表面の溝の周りの部分はウエハと面接触するので、
ウエハから誘電体へ、あるいは誘電体からウエハへ熱が
伝導する。
【0004】
【発明が解決しようとする課題】誘電体は例えばその中
央部と周辺部とで温度が異なることがあり、冷却ガスを
流している場合でも、ウエハの温度が一様にならず、ウ
エハ内でエッチングレートや成膜レートが不均一になっ
たり、ウエハに反りが生じたりし、歩留りが低下すると
いう問題があった。
【0005】また、ウエハと誘電体の表面との接触面積
が大きい場合、ウエハ内で温度分布ができるばかりでな
く、ロット毎にウエハの温度が変化しやすくなり、オペ
レータが頻繁に電圧調整や冷却ガス圧力の調整を行わな
ければならず、稼働効率が低下するという問題があっ
た。
【0006】さらに、ウエハはクーロン力によって静電
チャックに保持されるが、冷却ガスによる冷却を行う
と、冷却ガスの圧力はウエハを静電チャックから剥がす
方向に作用する。従って、静電チャックの吸着力は冷却
ガスの圧力に抗してウエハを保持できるほどの大きさを
もっていなければならない。クーロン力は(V/d)2
に比例するので、クーロン力を大きくするためには、ウ
エハと電極との間に位置する誘電体の層の厚さを小さく
することが必要になる。例えば、従来の静電チャックに
おいては、ウエハと電極との間に位置する誘電体の層の
厚さは0.2〜0.4mmにする必要があった。しか
し、誘電体の層の厚さがこのように薄くなるとウエハと
電極との間にかかる電圧に対して誘電体の耐電特圧の面
で問題が生じる。
【0007】また、高密度プラズマ環境下では、ウエハ
とともに静電チャックも加熱される。また、CVD装置
では、静電チャック自身を500℃程度まで加熱して使
用することもある。誘電体の材料となるセラミックス
は、温度の上昇とともに固有抵抗率が低下する性質があ
るために、静電チャックがかなり高温になると抵抗が低
下して、リーク電流が増大し、ウエハがダメージを受け
る問題がある。
【0008】また、誘電体のウエハ保持面とは反対側の
層には、外部から電極に向かって孔が設けられ、この孔
内には配線端子用のコバール等の金属端子が設けられ
る。この金属端子と孔の壁との間にはギャップができる
が、このギャップをそのままにしておくと誘電体の熱伝
導特性の悪化を招く。そこで、このギャップをシリコー
ングリスで埋めるようになっている。しかし、シリコー
ングリスの粘度が高いとそれをギャップに封入するのが
困難であり、シリコーングリスの粘度が低いと封入後の
使用中に流れ出てしまうという問題がある。
【0009】本発明の目的は、ウエハを安定的な温度で
確実に保持することのできる静電チャックを提供するこ
とである。本発明の他の目的は、冷却ガスの圧力に抗し
て十分にウエハを保持することができ且つ十分な耐電圧
特性をもった静電チャックを提供することである。本発
明の他の目的は、高い温度でも使用されることができ、
あるいは電極の端子部において熱伝導特性の悪化を招く
ことがないようにした静電チャックを提供することであ
る。
【0010】
【課題を解決するための手段】本発明による静電チャッ
クは、第1及び第2の表面を有する電極と、該電極の少
なくとも第1の表面を覆う第1の層を有する誘電体と、
該電極に通電するための手段と、該誘電体の該第1の層
の表面に冷却ガスを供給する冷却ガス供給手段とを備
え、該誘電体の該第1の層の表面に複数の微小な突起が
設けられており、該微小な突起の各々は先端部が根元よ
りも小さい形状に形成されていてウエハを実質的に点接
触で保持するようになっており、該誘電体の第1の層の
表面に保持されたウエハが該冷却ガス供給手段から供給
された冷却ガスにより冷却されるようにしたことを特徴
とするものである。
【0011】この構成においては、ウエハと誘電体とは
実質的に点接触し、ウエハと誘電体との間の熱伝導が非
常に小さくなり、無視できるようになる。ウエハの処理
表面側を除くと、冷却ガスのみが実質的にウエハに接触
する。従って、ウエハは冷却ガスによって均一に冷却さ
れてウエハ内の温度分布は一様になる。誘電体内に温度
分布があっても、ウエハは誘電体とはほとんど接触して
いないので誘電体内の温度分布の影響を受けることが少
ない。そして、電極とウエハとの間に電圧を印加する
と、微小な突起において電荷が集中し、より強い吸着力
が作用し、ウエハが誘電体に確実に吸着される。従っ
て、冷却ガスの圧力を高くしても、ウエハが誘電体に確
実に吸着される。
【0012】該微小な突起の各々は先端部が根元よりも
小さい形状に形成されている具体例として、該微小な突
起はドーム形に形成され、あるいは該微小な突起は角錐
形に形成されることができる。ウエハが冷却ガスによっ
て均一に冷却されるのをより確実にするためには、該微
小な突起は一定の形状で一定のピッチで配列されている
のが好ましい。この場合、該微小な突起は4mm以下の
ピッチで配列されているのが好ましい。
【0013】該微小な突起の高さは冷却ガスの平均自由
行程以下であるのがこのましい。このようにすると、冷
却ガスの分子は微小な突起の高さ、すなわち、ウエハと
誘電体の表面との間の間隔内において衝突せず、衝突に
よる熱の発生がなくなり、冷却ガスにより効率的な冷却
を行うことができる。
【0014】この場合、該微小な突起の高さは30μm
以下であるのが好ましい。例えば、冷却ガスとしてヘリ
ウムが使用されるとすると、温度25℃、圧力1Torrに
おけるヘリウムの平均自由行程は147.2μmであ
る。静電チャックにおいてウエハを冷却する場合にはヘ
リウムは5〜20Torrの圧力で使用されることが多く、
この場合の平均自由行程は15〜30μmである。従っ
て、該微小な突起の高さは15〜30μmであるのが好
ましい。
【0015】また、冷却ガスと静電チャックとの間の熱
交換の効率を高めるためには、該微小な突起を含む該誘
電体の表面の表面粗度Raが1から2μmの範囲にある
ようにするとよい。このようにすることにより、冷却ガ
スの適応係数を0.1以上にすることができる。
【0016】また、ウエハと電極との間に高電圧を印加
する場合には、該誘電体の第1の層の厚さが高い耐電圧
特性をもつことが必要である。高電圧を印加する場合に
は、必要なクーロン力の計算から得られる該誘電体の第
1の層の厚さは0.2〜0.3mmと比較的に薄く、こ
れでは耐電圧特性が満足できないことがある。そこで、
該誘電体が低抵抗型の誘電体からなり、該電極の前記一
面上の該誘電体の層の厚さが0.5mm以上であるよう
にすると、印加電圧に対して十分な耐電圧特性を満足で
きる。
【0017】また、誘電体の温度が上昇すると誘電体の
固有抵抗率が低下し、そこで静電チャックがかなり高温
になるとウエハに流れるリーク電流が増加して許容電流
以上になるという問題点を解決するためには、該誘電体
が二酸化ケイ素(SiO2 )を30%以上含有するセラ
ミックからなるようにするとよい。
【0018】また、誘電体の孔に設けた金属端子と孔の
壁との間のギャップを確実に埋めるようにためには、該
誘電体が該電極の第2の表面を覆う第2の層を有し、該
電極に通電するための手段は、該誘電体の第2の層に設
けた孔内に配置され且つ該電極に接続された金属端子
と、該金属端子と該第2の層に設けた孔の壁との間に溶
融状態で挿入され且つ硬化した軟金属とからなるように
するとよい。
【0019】同様に、該誘電体が該電極の第2の表面を
覆う第2の層を有し、該電極に通電するための手段は、
該誘電体の第2の層に設けた孔内に配置され且つ該電極
に接続された金属端子と、該金属端子と該第2の層に設
けた孔の壁との間に挿入された熱伝導性の高い樹脂とか
らなるようにするとよい。
【0020】
【発明の実施の形態】以下本発明の実施例について説明
する。図1及び図2において、静電チャック10は、円
板状の誘電体12と、この誘電体12の内部に埋設され
た平坦な円板状の電極14とからなる。電極14は第1
及び第2の表面14a、14bを有する。誘電体12
は、電極14の第1の表面14aを覆う第1の層16
と、電極14の第2の表面14bを覆う第2の層18と
を有する。
【0021】誘電体12の第2の層18には孔20が設
けられ、この孔20内には金属端子22が配置されてい
る。この金属端子22は電極14に電気的に接続され、
且つリード線23を介して電源24に接続される。図1
に仮想線で示されているウエハWも電源24に接続さ
れ、よって誘電体12の第1の層16を挟んで電極14
とウエハWとの間に電圧が印加される。ウエハWがプラ
ズマ雰囲気中で処理される場合には、プラズマはマイナ
スに帯電し且つウエハWはプラズマに接触しているの
で、ウエハWをリード線で電源に接続する必要のない場
合もある。
【0022】図7は電極14の一例を示し、この電極1
4は円形の誘電体12の形状よりもわずかに小さい円板
形状をしている。この場合には、図1に示されるよう
に、電極14が電源24の一方の側に接続される。図8
は電極14の他の例を示し、この電極14は2つの半円
板形の部分14x、14yに分割されている。この場合
には、2つの半円板形の部分14x、14yが電源24
の両側に接続される。この分割タイプの電極14は半円
板形の部分に限らず、その他の形状、例えば櫛歯状や放
射状のものとすることもできる。
【0023】図1及び図2において、冷却ガス供給口2
6が誘電体12及び電極14を貫通して設けられてい
る。ヘリウム等の冷却ガスが図示しないガス供給源から
誘電体12の第1の層16の表面16aに供給され、誘
電体12の第1の層16の表面16aに保持されたウエ
ハWを冷却する。
【0024】さらに、誘電体12の第1の層16の表面
16aには複数の微小な突起28が設けられている。微
小な突起28の各々は先端部が根元よりも小さい形状に
形成されていてウエハWを実質的に点接触で保持するよ
うになっている。図3の例においては、微小な突起28
はドーム形に形成されている。図4の例においては、微
小な突起28は四角錐形に形成されている。以後ドーム
形の微小な突起28についてさらに詳細に説明するが、
これは四角錐形及びその他の形状の微小な突起28につ
いても適用可能である。
【0025】図1から図3を参照すると、微小な突起2
8は一定の形状及び一定のピッチで配列されている。図
3に示されているように、各微小な突起28は円形のド
ーム状に形成されており、その根元部の直径Dは0.8
mmであり、高さHは0.02mmである。隣接する2
つの微小な突起28間のピッチPは4mm以下であるの
が好ましく、実施例では3mmである。
【0026】図5及び図6において、静電チャック10
は全体として薄く形成され、熱伝導率の優れたアルミニ
ウムの土台30に密着して載置されて使用される。作用
において、静電チャック10を支持したアルミニウムの
土台30は、シリコンウエハ等の半導体のエッチングや
CVD等を行うための真空室に配置され、ウエハWは同
真空室内で静電チャック10に保持されて所望の処理を
受ける。
【0027】ウエハWを静電チャック10に保持するた
めに電極14とウエハWとの間に電圧が印加されると、
ウエハWがクーロン力により静電チャック10に吸着さ
れる。クーロン力は、FQ =A(V/d)2 で求められ
る。Aは定数であり、Vは電圧、dは誘電体12の第1
の層16の厚さである。ウエハWは微小な突起28の先
端において誘電体12とは実質的に点接触する。
【0028】ヘリウムガスが冷却ガス供給口26に冷却
ガスとして導入される。よってウエハWは冷却ガスによ
り冷却され、冷却ガスの圧力と、ウエハWと誘電体12
との間の電圧に従ったほぼ一定の温度になる。本発明で
は、ウエハWは微小な突起28の先端において誘電体1
2とは実質的に点接触しているので、ウエハWと誘電体
12との間の熱伝導が非常に小さくなり、ウエハWの処
理表面側を除くと、冷却ガスのみが実質的にウエハWに
接触している。従って、誘電体12内に温度分布がある
としても、ウエハWは誘電体12内の温度分布の影響を
受けずに、冷却ガスによって均一に冷却されてウエハW
内の温度分布は一様になる。
【0029】図10は、冷却ガスの圧力とウエハWの温
度との関係を示し、黒点は600ワット、白点は400
ワットの電力をかけたときにウエハWを30℃にするの
に必要な冷却ガスの圧力を示している。冷却ガスの圧力
は5〜20Torrであればよいのが分かる。5〜20Torr
は大気圧と比べると低中真空に相当する。しかし、半導
体の製造のための真空室はそれよりももっと高い真空に
なっている。すなわち、静電チャック10の表面16a
とウエハWとの間に形成される空間の圧力(冷却ガスの
圧力)は真空室の圧力よりも高い。従って、静電チャッ
ク10の吸着力は、ウエハWを静電チャック10から離
れさせようとする冷却ガスの圧力よりも大きくなければ
ならず、冷却ガスの圧力が高くなるほど吸着力を大きく
する必要がある。
【0030】図11及び図12は微小な突起28ではな
く従来の円形の溝を設けた静電チャックを用いてウエハ
Wの温度を測定した例を示す図である。製造条件は、直
径8インチ、厚さ1mmのウエハを、2000mTorr の
真空室で、RF2000Wで2分間処理するものであっ
た。図11では、静電チャックの溝は同心円状のものが
2個あり、冷却ガスの圧力は5Torrであった。図12で
は、静電チャックの溝は同心円状のものが5個あり、冷
却ガスの圧力は20Torrであった。
【0031】図11及び図12から、ウエハの上限温度
は冷却ガスの圧力に従って変化するが、その変化の度合
いは比較的に少ないので、冷却ガスの圧力を必要以上に
高くする必要はないことが分かる。ウエハの下限温度は
チャック電圧に従って大きく変化し、チャック電圧を高
くするほど上限と下限の幅が大きくなるので、チャック
電圧をあまり高くしない方がよい。従って、冷却ガスの
圧力をあまり高くせずに、チャック電圧をあまり高くし
ないで冷却ガスがリークしない程度の吸着力とするのが
よい。この意味で、本発明のようにウエハWが誘電体1
2の第1の層16の表面16aに微小な突起28により
点接触するようにすることは、過度に強い吸着力を得る
ほどに高いチャック電圧をかけるのには向いているとは
言えないが、ウエハWを確実に保持し且つウエハWを一
定の温度に制御することができるものである。
【0032】そして、電極14とウエハWとの間に電圧
を印加すると、微小な突起28において電荷が集中し、
より強い吸着力を作用させることができる。。従って、
ウエハWは誘電体12に確実に吸着される。また、微小
な突起28は一定の形状で一定のピッチで配列されてい
るので、ウエハWが冷却ガスによってより均一に冷却さ
れる。
【0033】さらに、微小な突起28の高さは冷却ガス
の平均自由行程以下であるのが好ましい。こうすること
により、冷却ガスの分子は微小な突起28の高さ、すな
わち、ウエハWと誘電体12の第1の層16の表面16
aとの間の間隔内において互いに衝突せず、衝突による
熱の発生がなくなり、高い冷却効率を維持することがで
きる。
【0034】微小な突起28の高さは冷却ガスの平均自
由行程以下である範囲において、より具体的には微小な
突起の高さ28は30μm以下であるのが好ましい。例
えば、冷却ガスとしてヘリウムが使用されるとすると、
ヘリウムの平均自由行程は温度25℃、圧力1Torrにお
ける147.2μmである。静電チャック10において
ウエハWを冷却する場合にはヘリウムは5〜20Torrの
圧力で使用されることが多く、この場合の平均自由行程
は15〜30μmである。従って、微小な突起28の高
さは15〜30μmであるのが好ましい。
【0035】また、冷却ガスと静電チャック10との間
の熱交換の効率を高めるためには、微小な突起28を含
む誘電体12の表面16aの表面粗度がRa1から2μ
mの範囲にあるようにするとよい。このようにすること
により、冷却ガスの適応係数を0.1以上にすることが
できる。
【0036】図9は微小な突起28を含む誘電体12の
表面16aの表面粗度Raの求め方を示す図である。表
面粗度Raは中心線平均粗さとも言われ、次のようにし
て求める。まずマイクロゲージ等で表面16aの粗さを
測定して、粗さ曲線Bを作る。そして、粗さ曲線Bの中
心線Cのプラス部分及びマイナス部分の値を絶対値化
し、これを測定長lに沿って積分し、積分値を測定長l
で割ると表面粗度Raが得られる。
【0037】適応係数は気体分子が壁にぶつかるときの
熱エネルギーの伝達の程度を示す値である。入射分子の
温度をTi 、反射分子の温度をTr 、壁の温度をTw
するとき、α=(Tr −Ti )/(Tw −Ti )を適応
係数と言う。適応係数αが1のときに完全にエネルギー
の変換があり、適応係数αが0のときに全くエネルギー
の変換がない。通常の平坦な表面では、α=0.01程
度である。表面粗度がRa1から2μmの場合に、適応
係数αが0.1以上になり、良好な熱交換が行われる。
よって、冷却ガスによって静電チャック10を良好に冷
却することができる。
【0038】また、ウエハWと電極14との間に高い電
圧を印加する場合には、誘電体12の第1の層16の厚
さが高い耐電圧特性をもつことが必要である。数千ボル
トという高電圧を印加する場合には、上記したクーロン
力の計算(FQ =A(V/d)2 )から得られる誘電体
12の第1の層16の厚さdは、0.2〜0.3mmと
比較的に薄くなり、これでは耐電圧特性が満足できない
ことがある。
【0039】高電圧を印加する場合には、誘電体12の
第1の層16の厚さdは、耐電圧特性を満足するために
は0.5mm以上であることが望ましい。そのために、
ウエハWを静電チャック10に吸着する吸着力を、クー
ロン力(FQ )ばかりでなく、ジョンソンラーベック力
(FJ )をも利用するのが望ましい。
【0040】図13は、ウエハWを静電チャック10に
吸着する吸着力(F)がクーロン力(FQ )のみによっ
て与えられることを示している。図14は、ウエハWを
静電チャック10に吸着する吸着力(F)がクーロン力
(FQ )プラスジョンソンラーベック力(FJ )によっ
て与えられることを示している。ジョンソンラーベック
効果は、壁と半導体との間に電圧が印加されたときに半
導体が壁に密着するというものである。ジョンソンラー
ベック効果の詳細なメカニズムは明瞭ではないが、静電
チャック10の場合には、誘電体12を通常用いられる
ものよりも低抵抗型の誘電体からなるものとし、電極1
4とウエハWとの間に極微小な電流が流れるようにする
と、ジョンソンラーベック効果が現れることが分かっ
た。
【0041】図15は、種々の条件における吸着力
(F)を示す図である。三角点をプロットした曲線P
は、静電チャック10を高抵抗型(1016Ωcm)の誘電
体12で形成し、誘電体12の第1の層16の厚さdが
0.25mmの場合を示す。四角点をプロットした曲線
Qは、静電チャック10を低抵抗型(1013Ωcm)の誘
電体12で形成し、誘電体12の第1の層16の厚さd
が0.25mmの場合を示す。
【0042】同様に、丸点をプロットした曲線Rは、静
電チャック10を高抵抗型(1016Ωcm)の誘電体12
で形成し、誘電体12の第1の層16の厚さdが0.5
mmの場合を示し、二重丸点をプロットした曲線Sは、
静電チャック10を低抵抗型(1013Ωcm)の誘電体1
2で形成し、誘電体12の第1の層16の厚さdが0.
5mmの場合を示す。表面粗度はRa0.6μmであっ
た。
【0043】曲線Pでは電圧を高くすると吸着力(F)
を高くすることができるが、誘電体12の第1の層16
の厚さdが0.25mmと薄い。曲線Qでは低抵抗型で
あり且つ厚さdが0.25mmと薄いので吸着力(F)
は高い。また、曲線Rでは電圧を高くしても吸着力
(F)が高くなる度合は低い。曲線Sでは低抵抗型であ
り且つ厚さdが0.5mmであるが吸着力(F)はかな
り高い。
【0044】曲線Pと曲線Qとの差、あるいは曲線Rと
曲線Sとの差を示す曲線JLが、ジョンソンラーベック
力(FJ )に相当する。ジョンソンラーベック力
(FJ )は20g/cm2 以上ある。20g/cm2
いう値は、8インチのウエハWでは6280g/cm2
になり、これは冷却ガスの圧力に対して約14Torrまで
耐えることができる値である。従って、誘電体12の厚
さdが0.5mm以上になってクーロン力クーロン力
(FQ )がかなり低くなっても、ジョンソンラーベック
力(FJ )だけで実質的にウエハWを十分に吸着保持す
ることができる。なお、ここでは誘電体12が低抵抗型
の抵抗1013Ωcmをもつもつ例について説明したが、低
抵抗型の抵抗は低抵1010〜1013の範囲から選んで、
誘電体12の第1の層16の厚さが0.5mm以上であ
るようにし、それによって印加電圧に対して十分な耐電
圧特性を備えるようにすることができる。
【0045】図16は、誘電体12として使用される各
種のセラミックの温度に対する抵抗率の変化を示す図で
ある。抵抗率は、AM1がミディアムローのクラスのア
ルミナであり、AM2がミディアムのクラスのアルミナ
であり、AM3がハイクラスのアルミナであり、MLは
ムライトである。ハイクラスのアルミナは二酸化ケイ素
(SiO2 )を約8%含有し、ムライトは二酸化ケイ素
(SiO2 )を約40%含有している。破線Lは許容で
きる抵抗率の下限である。
【0046】静電チャック10はプラズマ雰囲気でかな
り高温(例えば500℃)で使用されることがあり、誘
電体12の各材料は誘電体12の温度の上昇とともに固
有抵抗率が低下する。静電チャック10が例えば500
℃で使用される場合には、その温度で下限Lよりも高い
抵抗率をもつのはムライトだけである。このように、静
電チャック10が高温で使用される場合には、誘電体1
2を二酸化炭素(SiO2 )を30%以上含有するセラ
ミックから形成し、その温度で下限Lよりも高い抵抗率
をもつようにするのがよい。これに適するセラミックと
しては、アルミナやムライトの他に、ガラスセラミック
等がある。
【0047】図17は金属端子22の取り付け部を示す
拡大図である。上記したように、誘電体12の第2の層
18には孔20が設けられ、この孔20内には金属端子
22が配置されている。この金属端子22は例えばコバ
ールからなり、電極14に対して銀/銅の層によってロ
ー付けされている。この構造においては、金属端子22
と孔20の壁20との間にギャップができる。この実施
例では、はんだ(Pb+Sn)合金の層34が挿入され
ている。はんだ合金の層34は前記ギャップに溶融状態
で挿入されるので、小さなギャップに隙間無く充填さ
れ、その後で自然に硬化する。なお、はんだ合金の充填
に際して、金属端子22が露出できるようにピン等を差
し込んでおき、硬化後にピンを引き抜くことにより穴3
6ができるようにする。
【0048】従って、誘電体12のギャップが埋めら
れ、誘電体12の熱伝導特性が悪化するのを防止でき
る。また、はんだ合金はセラミックやコバールと比べて
柔らかいので、使用中の誘電体12の温度変化による熱
膨張、熱収縮を吸収することができる。また、実施例の
説明ははんだ合金を例として説明されたが、誘電体12
のギャップに埋めるのははんだ合金に限らず、ギャップ
に溶融状態で挿入され且つ硬化するその他の軟金属、例
えばアルミニウムやインジウム等とすることができる。
【0049】さらに、誘電体12のギャップに埋めるの
は軟金属に限らず、熱伝導性の高い樹脂とすることもで
きる。この場合、樹脂中に熱伝導性の高い銀等の金属粒
子を混入したペーストとして使用する。
【0050】
【発明の効果】以上説明したように、本発明によれば、
ウエハを安定的な温度で確実に保持することのできる静
電チャックが得られる。また、冷却ガスの圧力に抗して
十分にウエハを保持することができ、あるいは十分な耐
電圧特性をもち、あるいは高い温度でも使用されること
ができ、あるいは電極の端子部において熱伝導特性の悪
化を招くことがないようにした静電チャックを得ること
ができる。
【図面の簡単な説明】
【図1】本発明の実施例の静電チャックを示す断面図で
ある。
【図2】図1の静電チャックの平面図である。
【図3】図1及び図2の微小な突起の拡大図である。
【図4】微小な突起の他の例を示す図である。
【図5】図1の静電チャックを土台に取り付けたところ
を示す平面図である。
【図6】図5の断面図である。
【図7】図1の静電チャックの電極を通る断面図であ
る。
【図8】電極の他の例を示す断面図である。
【図9】表面粗度を説明する図である。
【図10】ガス圧力とウエハ温度の関係を示す図であ
る。
【図11】ガス圧力が5Torrの場合の電圧とウエハ温度
の関係を示す図である。
【図12】ガス圧力が20Torrの場合の電圧とウエハ温
度の関係を示す図である。
【図13】吸着力がクーロン力で得られることを説明す
る図である。
【図14】吸着力がクーロン力とジョンソンラーベック
力とで得られることを説明する図である。
【図15】電圧と吸着力との関係を示す図である。
【図16】誘電体の温度と抵抗率との関係を示す図であ
る。
【図17】誘電体の金属端子の取り付け部を示す図であ
る。
【符号の説明】
10…静電チャック 12…誘電体 14…電極 16…第1の層 18…第2の層 20…孔 22…金属端子 26…冷却ガス供給口 28…微小な突起
フロントページの続き (72)発明者 鈴木 貴彦 福島県会津若松市門田町工業団地4番地 株式会社富士通東北エレクトロニクス内 (72)発明者 福西 隆一 福島県会津若松市門田町工業団地4番地 株式会社富士通東北エレクトロニクス内

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 第1及び第2の表面を有する電極(1
    4)と、該電極の少なくとも第1の表面を覆う第1の層
    (16)を有する誘電体(12)と、該電極に通電する
    ための手段(22)と、該誘電体の該第1の層の表面に
    冷却ガスを供給する冷却ガス供給手段(26)とを備
    え、該誘電体の該第1の層の表面に複数の微小な突起
    (28)が設けられており、該微小な突起(28)の各
    々は先端部が根元よりも小さい形状に形成されていてウ
    エハ(W)を実質的に点接触で保持するようになってお
    り、該誘電体の第1の層の表面に保持されたウエハが該
    冷却ガス供給手段から供給された冷却ガスにより冷却さ
    れるようにしたことを特徴とする静電チャック。
  2. 【請求項2】 該微小な突起(28)はドーム形に形成
    されていることを特徴とする請求項1に記載の静電チャ
    ック。
  3. 【請求項3】 該微小な突起(28)は角錐形に形成さ
    れていることを特徴とする請求項1に記載の静電チャッ
    ク。
  4. 【請求項4】 該微小な突起(28)は一定の形状及び
    一定のピッチで配列されていることを特徴とする請求項
    5に記載の静電チャック。
  5. 【請求項5】 該微小な突起(28)は4mm以下のピ
    ッチで配列されていることを特徴とする請求項4に記載
    の静電チャック。
  6. 【請求項6】 該微小な突起(28)の高さは冷却ガス
    の平均自由行程以下であることを特徴とする請求項1に
    記載の静電チャック。
  7. 【請求項7】 該微小な突起(28)の高さは30μm
    以下であることを特徴とする請求項6に記載の静電チャ
    ック。
  8. 【請求項8】 該微小な突起(28)を含む該誘電体
    (12)の表面(16a)の表面粗度がRa1から2μ
    mの範囲にあることを特徴とする請求項1に記載の静電
    チャック。
  9. 【請求項9】 該誘電体(12)が低抵抗型の誘電体か
    らなり、該誘電体の第1の層(16)の厚さが0.5m
    m以上であることを特徴とする請求項1に記載の静電チ
    ャック。
  10. 【請求項10】 該誘電体(12)が二酸化ケイ素(S
    iO2 )を30%以上含有するセラミックからなること
    を特徴とする請求項1に記載の静電チャック。
  11. 【請求項11】 該誘電体(12)が該電極(14)の
    第2の表面を覆う第2の層(18)を有し、該電極に通
    電するための手段は、該誘電体の第2の層に設けた孔
    (20)内に配置され且つ該電極に接続された金属端子
    (22)と、該金属端子と該第2の層に設けた孔の壁と
    の間に溶融状態で挿入され且つ硬化した軟金属(34)
    とからなることを特徴とする請求項1に記載の静電チャ
    ック。
  12. 【請求項12】 該誘電体(12)が該電極(14)の
    第2の表面を覆う第2の層(18)を有し、該電極に通
    電するための手段は、該誘電体の第2の層に設けた孔
    (20)内に配置され且つ該電極に接続された金属端子
    (22)と、該金属端子と該第2の層に設けた孔の壁と
    の間に挿入された熱伝導性の高い樹脂とからなることを
    特徴とする請求項1に記載の静電チャック。
  13. 【請求項13】 第1及び第2の表面を有する電極(1
    4)と、該電極の少なくとも第1の表面を覆う第1の層
    を有する誘電体(12)と、該電極に通電するための手
    段(22)と、該誘電体の該第1の層の表面に冷却ガス
    を供給する冷却ガス供給手段(26)とを備え、該誘電
    体が二酸化ケイ素(SiO2 )を30%以上含有するセ
    ラミックからなることを特徴とする静電チャック。
  14. 【請求項14】 第1及び第2の表面を有する電極(1
    4)と、該電極の第1及び第2の表面を覆う第1及び第
    2の層(16、18)を有する誘電体(12)と、該電
    極に通電するための手段(22)と、該誘電体の該第1
    の層の表面に冷却ガスを供給する冷却ガス供給手段(2
    6)とを備え、該電極に通電するための手段は、該誘電
    体の第2の層に設けた孔(20)内に配置され且つ該電
    極に接続された金属端子(22)と、該金属端子と該第
    2の層に設けた孔の壁との間に溶融状態で挿入され且つ
    硬化した軟金属(34)とからなることを特徴とする静
    電チャック。
  15. 【請求項15】 第1及び第2の表面を有する電極(1
    4)と、該電極の第1及び第2の表面を覆う第1及び第
    2の層(16、18)を有する誘電体(14)と、該電
    極に通電するための手段(22)と、該誘電体の該第1
    の層の表面に冷却ガスを供給する冷却ガス供給手段(2
    6)とを備え、該電極に通電するための手段は、該誘電
    体の第2の層に設けた孔(20)内に配置され且つ該電
    極に接続された金属端子(22)と、該金属端子と該第
    2の層に設けた孔の壁との間に挿入された熱伝導性の高
    い樹脂とからなることを特徴とする静電チャック。
  16. 【請求項16】 電極(14)の表面を覆う誘電体の表
    面に設けた微小な突起(28)によりウエハ(W)を実
    質的に点接触で載置し、該電極(14)に電圧を印加し
    てウエハ(W)を該誘電体の表面に吸着し、該誘電体と
    該ウエハ(W)との間に冷却ガスを供給することを特徴
    とするウエハの吸着方法。
JP33066395A 1995-12-19 1995-12-19 静電チャック及びウエハの吸着方法 Pending JPH09172055A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP33066395A JPH09172055A (ja) 1995-12-19 1995-12-19 静電チャック及びウエハの吸着方法
US08/752,932 US5777838A (en) 1995-12-19 1996-11-20 Electrostatic chuck and method of attracting wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP33066395A JPH09172055A (ja) 1995-12-19 1995-12-19 静電チャック及びウエハの吸着方法

Publications (1)

Publication Number Publication Date
JPH09172055A true JPH09172055A (ja) 1997-06-30

Family

ID=18235200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33066395A Pending JPH09172055A (ja) 1995-12-19 1995-12-19 静電チャック及びウエハの吸着方法

Country Status (2)

Country Link
US (1) US5777838A (ja)
JP (1) JPH09172055A (ja)

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0856882A2 (en) * 1997-01-31 1998-08-05 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck and method of fabricating same
JPH1174336A (ja) * 1997-08-29 1999-03-16 Kyocera Corp ウエハ支持部材
WO1999016122A1 (en) * 1997-09-25 1999-04-01 Applied Materials, Inc. Hybrid johnsen-rahbek electrostatic chuck and method of fabricating same
WO1999025017A1 (en) * 1997-11-12 1999-05-20 Applied Materials, Inc. Apparatus for retaining a workpiece
JP2000106392A (ja) * 1998-09-29 2000-04-11 Ngk Insulators Ltd 静電チャック
JP2000340640A (ja) * 1999-05-31 2000-12-08 Toto Ltd 非接触型静電吸着装置
JP2001110879A (ja) * 1999-06-09 2001-04-20 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板
JP2001203258A (ja) * 2000-01-21 2001-07-27 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2001274228A (ja) * 2000-01-20 2001-10-05 Ngk Insulators Ltd 静電チャック
JP2001308079A (ja) * 2000-02-14 2001-11-02 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2002222851A (ja) * 2001-01-29 2002-08-09 Ngk Insulators Ltd 静電チャックおよび基板処理装置
JP2002270681A (ja) * 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
JP2002299426A (ja) * 2001-03-29 2002-10-11 Toto Ltd 静電チャックユニット
JP2002334920A (ja) * 2001-05-09 2002-11-22 Hitachi Chem Co Ltd 静電チャック
JP2002359280A (ja) * 2001-03-06 2002-12-13 Samsung Electronics Co Ltd プレートアセンブリおよびこれを有する加工装置
JP2003511856A (ja) * 1999-10-01 2003-03-25 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 表面構造およびその製造方法,ならびに表面構造を組み込む,静電ウエハクランプ
JP2004128019A (ja) * 2002-09-30 2004-04-22 Applied Materials Inc プラズマ処理方法及び装置
JP2004259825A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp プラズマ処理装置
JP2004303961A (ja) * 2003-03-31 2004-10-28 Dainippon Printing Co Ltd 吸着プレート装置
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
US6887316B2 (en) 2000-04-14 2005-05-03 Ibiden Co., Ltd. Ceramic heater
JP2005183959A (ja) * 2003-12-15 2005-07-07 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2006019572A (ja) * 2004-07-02 2006-01-19 Ricoh Co Ltd 半導体製造装置及び半導体製造方法
JP2006060040A (ja) * 2004-08-20 2006-03-02 Rasa Ind Ltd 静電チャックプレート及びその製造方法
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
JP2007123601A (ja) * 2005-10-28 2007-05-17 Ngk Insulators Ltd セラミックス基材と電力供給用コネクタの接合構造
JP2007173596A (ja) * 2005-12-22 2007-07-05 Ngk Insulators Ltd 静電チャック
JP2007201068A (ja) * 2006-01-25 2007-08-09 Taiheiyo Cement Corp 静電チャック
US7586734B2 (en) 2004-06-28 2009-09-08 Kyocera Corporation Electrostatic chuck
WO2009118888A1 (ja) * 2008-03-28 2009-10-01 キヤノンアネルバ株式会社 真空処理装置、当該真空処理装置を用いた画像表示装置の製造方法及び当該真空処理装置により製造される電子装置
CN101752221A (zh) * 2008-12-17 2010-06-23 佳能安内华股份有限公司 真空容器及制造方法、真空处理设备和电子器件制造方法
JP2011123102A (ja) * 2009-12-08 2011-06-23 Hitachi High-Technologies Corp プロキシミティ露光装置、プロキシミティ露光装置の基板温度調節方法、及び表示用パネル基板の製造方法
JP2012504927A (ja) * 2008-08-28 2012-02-23 ランダ コーポレーション リミテッド 電気発生のためのデバイスおよび方法
WO2012026421A1 (ja) * 2010-08-24 2012-03-01 株式会社クリエイティブ テクノロジー 静電チャック装置及びその製造方法
JP2013102076A (ja) * 2011-11-09 2013-05-23 Tokyo Electron Ltd 基板載置システム、基板処理装置、静電チャック及び基板冷却方法
JP2015088745A (ja) * 2013-09-27 2015-05-07 住友大阪セメント株式会社 静電チャック装置
JP2015159232A (ja) * 2014-02-25 2015-09-03 京セラ株式会社 試料保持具およびこれを用いたプラズマエッチング装置
WO2016052115A1 (ja) * 2014-09-30 2016-04-07 住友大阪セメント株式会社 静電チャック装置
JP2017129848A (ja) * 2016-01-18 2017-07-27 Hoya株式会社 基板保持装置、描画装置、フォトマスク検査装置、および、フォトマスクの製造方法
WO2019188681A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3954177B2 (ja) * 1997-01-29 2007-08-08 日本碍子株式会社 金属部材とセラミックス部材との接合構造およびその製造方法
US6117246A (en) * 1997-01-31 2000-09-12 Applied Materials, Inc. Conductive polymer pad for supporting a workpiece upon a workpiece support surface of an electrostatic chuck
US6456480B1 (en) * 1997-03-25 2002-09-24 Tokyo Electron Limited Processing apparatus and a processing method
GB9711273D0 (en) * 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
JP3374743B2 (ja) * 1998-03-05 2003-02-10 日本電気株式会社 基板熱処理装置及び同装置からの基板の分離方法
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP2000021869A (ja) * 1998-06-30 2000-01-21 Tokyo Electron Ltd 真空処理装置
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
DE19853092B4 (de) 1998-11-18 2004-10-21 Leica Microsystems Lithography Gmbh Übernahme- und Haltesystem für ein Substrat
US6259592B1 (en) 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6215642B1 (en) * 1999-03-11 2001-04-10 Nikon Corporation Of Japan Vacuum compatible, deformable electrostatic chuck with high thermal conductivity
US6992876B1 (en) * 1999-07-08 2006-01-31 Lam Research Corporation Electrostatic chuck and its manufacturing method
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
JP2001237053A (ja) * 1999-12-14 2001-08-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび支持ピン
TW473792B (en) 2000-01-20 2002-01-21 Ngk Insulators Ltd Electrostatic chuck
JP2001332609A (ja) * 2000-03-13 2001-11-30 Nikon Corp 基板保持装置及び露光装置
TWI254403B (en) * 2000-05-19 2006-05-01 Ngk Insulators Ltd Electrostatic clamper, and electrostatic attracting structures
WO2002017384A1 (en) * 2000-08-23 2002-02-28 Applied Materials, Inc. Electrostatic chuck temperature control method and system
WO2002047129A1 (fr) * 2000-12-05 2002-06-13 Ibiden Co., Ltd. Substrat ceramique pour dispositifs de production et de controle de semi-conducteurs et procede de production dudit substrat ceramique
JP4094262B2 (ja) * 2001-09-13 2008-06-04 住友大阪セメント株式会社 吸着固定装置及びその製造方法
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
EP1378936B1 (de) 2002-07-01 2011-01-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektrostatisches Halteelement
DE10240356B4 (de) * 2002-07-01 2007-08-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektrostatisches Halteelement
US7092231B2 (en) * 2002-08-23 2006-08-15 Asml Netherlands B.V. Chuck, lithographic apparatus and device manufacturing method
JP3967278B2 (ja) * 2003-03-07 2007-08-29 日本碍子株式会社 接合部材及び静電チャック
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
DE602004032100D1 (de) 2003-11-05 2011-05-19 Asml Netherlands Bv Lithographischer Apparat und Vorrichtungs-Halteverfahren
US7019820B2 (en) * 2003-12-16 2006-03-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1530088B1 (en) * 2003-11-05 2007-08-08 ASML Netherlands B.V. Lithographic apparatus
US7088431B2 (en) * 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI242255B (en) * 2004-07-21 2005-10-21 Touch Micro System Tech Wafer carrier
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US20060271302A1 (en) * 2005-05-27 2006-11-30 Ehrlich James L Method of Assessing Productivity of Lactating Animals Using Fitted Parameters to a Mechanistic Lactation Model
US7632729B2 (en) * 2006-09-27 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device performance enhancement
US7580238B2 (en) * 2007-05-18 2009-08-25 Dongbu Hitek Co., Ltd. Electrostatic chuck structure for semiconductor manufacturing apparatus
US20090122458A1 (en) * 2007-11-14 2009-05-14 Varian Semiconductor Epuipment Associated, Inc. Embossed electrostatic chuck
JP4974873B2 (ja) * 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5470601B2 (ja) * 2009-03-02 2014-04-16 新光電気工業株式会社 静電チャック
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
SG10201402319QA (en) 2009-05-15 2014-07-30 Entegris Inc Electrostatic chuck with polymer protrusions
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5507274B2 (ja) * 2010-01-29 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
CN105196094B (zh) 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
JP5454803B2 (ja) * 2010-08-11 2014-03-26 Toto株式会社 静電チャック
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5441020B1 (ja) * 2012-08-29 2014-03-12 Toto株式会社 静電チャック
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6650345B2 (ja) * 2016-05-26 2020-02-19 日本特殊陶業株式会社 基板保持装置及びその製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11121019B2 (en) * 2018-06-19 2021-09-14 Kla Corporation Slotted electrostatic chuck
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7259060B2 (ja) * 2019-02-05 2023-04-17 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
TWI724399B (zh) * 2019-04-02 2021-04-11 建泓科技實業股份有限公司 靜電吸盤陶瓷絕緣結構與製造方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114496886A (zh) * 2020-11-13 2022-05-13 新光电气工业株式会社 基板固定装置、静电吸盘和静电吸盘的制造方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US5001594A (en) * 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
JPH06737A (ja) * 1991-03-29 1994-01-11 Shin Etsu Chem Co Ltd 静電チャック基板
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
JPH07297268A (ja) * 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0856882A2 (en) * 1997-01-31 1998-08-05 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck and method of fabricating same
EP0856882A3 (en) * 1997-01-31 1999-10-27 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck and method of fabricating same
US6217655B1 (en) 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
JPH1174336A (ja) * 1997-08-29 1999-03-16 Kyocera Corp ウエハ支持部材
WO1999016122A1 (en) * 1997-09-25 1999-04-01 Applied Materials, Inc. Hybrid johnsen-rahbek electrostatic chuck and method of fabricating same
WO1999025017A1 (en) * 1997-11-12 1999-05-20 Applied Materials, Inc. Apparatus for retaining a workpiece
JP2000106392A (ja) * 1998-09-29 2000-04-11 Ngk Insulators Ltd 静電チャック
JP2000340640A (ja) * 1999-05-31 2000-12-08 Toto Ltd 非接触型静電吸着装置
JP2001110879A (ja) * 1999-06-09 2001-04-20 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板
JP4854056B2 (ja) * 1999-10-01 2012-01-11 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 冷却装置及びクランピング装置
JP2003511856A (ja) * 1999-10-01 2003-03-25 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 表面構造およびその製造方法,ならびに表面構造を組み込む,静電ウエハクランプ
JP2001274228A (ja) * 2000-01-20 2001-10-05 Ngk Insulators Ltd 静電チャック
JP2001203258A (ja) * 2000-01-21 2001-07-27 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2001308079A (ja) * 2000-02-14 2001-11-02 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US6887316B2 (en) 2000-04-14 2005-05-03 Ibiden Co., Ltd. Ceramic heater
JP2002222851A (ja) * 2001-01-29 2002-08-09 Ngk Insulators Ltd 静電チャックおよび基板処理装置
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP2002359280A (ja) * 2001-03-06 2002-12-13 Samsung Electronics Co Ltd プレートアセンブリおよびこれを有する加工装置
JP2002270681A (ja) * 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
JP2002299426A (ja) * 2001-03-29 2002-10-11 Toto Ltd 静電チャックユニット
JP2002334920A (ja) * 2001-05-09 2002-11-22 Hitachi Chem Co Ltd 静電チャック
JP2004128019A (ja) * 2002-09-30 2004-04-22 Applied Materials Inc プラズマ処理方法及び装置
JP2004259825A (ja) * 2003-02-25 2004-09-16 Hitachi High-Technologies Corp プラズマ処理装置
JP2004303961A (ja) * 2003-03-31 2004-10-28 Dainippon Printing Co Ltd 吸着プレート装置
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
JP2005183959A (ja) * 2003-12-15 2005-07-07 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2010109390A (ja) * 2003-12-15 2010-05-13 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US7586734B2 (en) 2004-06-28 2009-09-08 Kyocera Corporation Electrostatic chuck
JP2006019572A (ja) * 2004-07-02 2006-01-19 Ricoh Co Ltd 半導体製造装置及び半導体製造方法
JP2006060040A (ja) * 2004-08-20 2006-03-02 Rasa Ind Ltd 静電チャックプレート及びその製造方法
JP4510745B2 (ja) * 2005-10-28 2010-07-28 日本碍子株式会社 セラミックス基材と電力供給用コネクタの接合構造
JP2007123601A (ja) * 2005-10-28 2007-05-17 Ngk Insulators Ltd セラミックス基材と電力供給用コネクタの接合構造
JP2007173596A (ja) * 2005-12-22 2007-07-05 Ngk Insulators Ltd 静電チャック
JP2007201068A (ja) * 2006-01-25 2007-08-09 Taiheiyo Cement Corp 静電チャック
JP5192492B2 (ja) * 2008-03-28 2013-05-08 キヤノンアネルバ株式会社 真空処理装置、当該真空処理装置を用いた画像表示装置の製造方法及び当該真空処理装置により製造される電子装置
WO2009118888A1 (ja) * 2008-03-28 2009-10-01 キヤノンアネルバ株式会社 真空処理装置、当該真空処理装置を用いた画像表示装置の製造方法及び当該真空処理装置により製造される電子装置
JP2012504927A (ja) * 2008-08-28 2012-02-23 ランダ コーポレーション リミテッド 電気発生のためのデバイスおよび方法
CN101752221A (zh) * 2008-12-17 2010-06-23 佳能安内华股份有限公司 真空容器及制造方法、真空处理设备和电子器件制造方法
JP2011123102A (ja) * 2009-12-08 2011-06-23 Hitachi High-Technologies Corp プロキシミティ露光装置、プロキシミティ露光装置の基板温度調節方法、及び表示用パネル基板の製造方法
WO2012026421A1 (ja) * 2010-08-24 2012-03-01 株式会社クリエイティブ テクノロジー 静電チャック装置及びその製造方法
JP2013102076A (ja) * 2011-11-09 2013-05-23 Tokyo Electron Ltd 基板載置システム、基板処理装置、静電チャック及び基板冷却方法
JP2015088745A (ja) * 2013-09-27 2015-05-07 住友大阪セメント株式会社 静電チャック装置
JP2015159232A (ja) * 2014-02-25 2015-09-03 京セラ株式会社 試料保持具およびこれを用いたプラズマエッチング装置
WO2016052115A1 (ja) * 2014-09-30 2016-04-07 住友大阪セメント株式会社 静電チャック装置
JP2017129848A (ja) * 2016-01-18 2017-07-27 Hoya株式会社 基板保持装置、描画装置、フォトマスク検査装置、および、フォトマスクの製造方法
WO2019188681A1 (ja) * 2018-03-26 2019-10-03 日本碍子株式会社 静電チャックヒータ
CN110753995A (zh) * 2018-03-26 2020-02-04 日本碍子株式会社 静电卡盘加热器
JPWO2019188681A1 (ja) * 2018-03-26 2020-07-02 日本碍子株式会社 静電チャックヒータ
US11688590B2 (en) 2018-03-26 2023-06-27 Ngk Insulators, Ltd. Electrostatic-chuck heater
CN110753995B (zh) * 2018-03-26 2023-10-03 日本碍子株式会社 静电卡盘加热器

Also Published As

Publication number Publication date
US5777838A (en) 1998-07-07

Similar Documents

Publication Publication Date Title
JPH09172055A (ja) 静電チャック及びウエハの吸着方法
JP3129452B2 (ja) 静電チャック
JP3238925B2 (ja) 静電チャック
JP3064409B2 (ja) 保持装置およびそれを用いた半導体製造装置
US8879233B2 (en) Electrostatic chuck with polymer protrusions
JP5201527B2 (ja) 静電チャック、及びその製造方法
JP3699349B2 (ja) ウエハー吸着加熱装置
US6259592B1 (en) Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
KR101163825B1 (ko) 정전척 및 그 제조 방법
WO2000072376A1 (fr) Mandrin electrostatique et dispositif de traitement
JP2002222851A (ja) 静電チャックおよび基板処理装置
JPH0119253B2 (ja)
JP4010541B2 (ja) 静電吸着装置
JPS60261377A (ja) 静電チャックの製造方法
JP2521471B2 (ja) 静電吸着装置
JPH04304941A (ja) ウエハー保持具の製造方法
JPH0513558A (ja) ウエハー加熱装置及びその製造方法
JP2005012144A (ja) 静電チャック
JP2005245106A (ja) 静電チャック
JP3662909B2 (ja) ウエハー吸着加熱装置及びウエハー吸着装置
JP2836986B2 (ja) 静電チャック及びその製造方法
KR102230222B1 (ko) 정전척의 접착층 충진 방법
JP3089756B2 (ja) 静電チャック
JP2006253703A (ja) 静電チャック及び絶縁性基板静電吸着処理方法
JP4338376B2 (ja) 静電チャック装置

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020115