CN203205393U - 用于转移基板及限制自由基的箍组件 - Google Patents

用于转移基板及限制自由基的箍组件 Download PDF

Info

Publication number
CN203205393U
CN203205393U CN2012900000913U CN201290000091U CN203205393U CN 203205393 U CN203205393 U CN 203205393U CN 2012900000913 U CN2012900000913 U CN 2012900000913U CN 201290000091 U CN201290000091 U CN 201290000091U CN 203205393 U CN203205393 U CN 203205393U
Authority
CN
China
Prior art keywords
hoop
main body
limit collar
substrate
hoop assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2012900000913U
Other languages
English (en)
Inventor
杰瑞德·阿哈默德·里
马丁·杰夫·萨里纳斯
保罗·B·路透
伊玛德·尤瑟夫
阿尼鲁达·帕尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN203205393U publication Critical patent/CN203205393U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本实用新型的一个实施例提供一种用于转移基板及限制自由基的箍组件。本实用新型的实施例提供一种用于在腔室内转移基板及限制处理环境的设备。箍组件包括:限制环,该限制环于该限制环内限定限制区;及三或更多个升降指,该三或更多个升降指附接于该箍。该三或更多个升降指经设置以支撑在限制环的内容积外的基板。

Description

用于转移基板及限制自由基的箍组件
技术领域
本发明的实施例大体涉及一种在半导体基板上制造装置的设备。更具体而言,本发明的实施例提供一种在腔室内转移基板及限制处理环境的设备。 
背景技术
在半导体装置的制造期间,通常在处理腔室内处理基板,在该处理腔室中可对基板执行沉积、蚀刻、热处理。尤其随着半导体组件的尺寸快速减少,改良处理均匀性及减少粒子污染为半导体处理的两个恒定目标。 
半导体处理腔室大体包括腔室主体,腔室主体限定用于处理基板的内容积。在处理期间通常将基板支撑设置于内容积中以支撑基板。经由腔室主体可形成一或更多个流量阀门以允许基板通过而进入及离开内容积。经由腔室主体亦形成气体供应路径及泵通道以提供处理气体且泵内容积至所要压力。流量阀开口、气体供应路径、泵通道及基板支撑通常引起腔室主体的内壁不对称及/或不规则,因此引起非均匀传导率及/或电场不对称。因此,可将基板上的不同区域暴露于不同处理条件下且整个基板的处理均匀性减低。此外,处理气体可行进至流量阀区域且在流量阀区域周围引起污染。 
因此,存在对于在半导体处理腔室内改良处理均匀性且减少污染的方法及设备的需要。 
发明内容
本发明的实施例大体提供处理基板的设备。更具体而言,本发明的实施例提供在腔室内转移基板及限制处理环境的设备。 
本发明的一个实施例提供用于转移基板及限制自由基的箍组件。箍组件包括石英限制环,限制环在限制环内限定限制区,限制环包括:圆柱形套管,其具有形成圆柱形壁的内表面和外表面,圆柱形套管具有与下端基本平行的上端;以及大致垂直脊,其形成在外表面上,垂直脊不完全延伸到圆柱形套管的下端。 
本发明的另一实施例提供用于处理基板的腔室。腔室包括:腔室主体,该腔室主体于该腔室主体内限定腔室容积;基板支撑托架组件,设置于该腔室容积内;及箍组件,该箍组件在腔室容积内部可移动。腔室主体具有可密封基板转移开口。箍组件包括在升高位置与降低位置之间可移动的限制环。限制环在降低位置于基板支撑托架组件上方限定限制的限制区。 
本发明的又一实施例提供一种处理基板的方法。该方法包括经由处理腔室的开口转移基板至设置于处理腔室内的箍组件的三或更多个升降指。箍组件包括限制环,该限制环于该限制环内限定圆柱形限制区。该方法还包括降低箍组件以从升降指转移基板至设置于处理腔室内的基板支撑托架组件、将箍组件定位于处理位置。限制区为至少正好在设置于基板支撑托架组件上的基板上方,且限制环将开口遮蔽。该方法还包括通过供应处理气体至限制区来处理基板,且箍组件处于处理位置。 
在上述方法中,当箍组件处于处理位置时,限制环的高度从基板跨越至定位于基板支撑托架组件上方的喷头的下表面。上述方法可还包括升高限制环至在处理腔室的顶板中形成的孔穴内。 
附图说明
因此,可详细理解本发明的上述特征结构的方式,即上文简要概述的本发明的更特定描述可参照实施例进行,该实施例中的一些实施例图示于附图中。然而,应注意,附图仅图示本发明的 典型实施例,且因此不欲将附图视为本发明范畴的限制,因为本发明可允许其它同等有效的实施例。 
图1为根据本发明的一个实施例的具有箍组件的负载锁定腔室的示意性截面图。 
图2为箍组件处于装载/卸载位置的图1的负载锁定腔室的示意性截面图。 
图3为根据本发明的一个实施例的具有箍组件的负载锁定腔室的示意性俯视图。 
图4为根据本发明的一个实施例的箍组件的分解图。 
图4A为箍组件的局部截面图。 
图4B为从箍组件的中心向外观察的箍组件的部分的局部图。 
图5为根据本发明的一个实施例的箍主体的截面图。 
图6为根据本发明的一个实施例的升降指的透视图。 
图7为根据本发明的一个实施例图示具有波纹管的升降致动器的箍组件的局部截面侧视图。 
图8A及图8B示意性地图示处于延伸及压缩位置的波纹管。 
为促进理解,在可能的情况下,使用相同组件符号来表示各图所共享的相同组件。设想在于,在一个实施例中揭示的组件可有益地用于其它实施例而无需特定叙述。 
具体实施方式
本发明的实施例提供用于在半导体基板上制造组件的设备及方法。更具体而言,本发明的实施例关于基板转移设备,该基板转移设备具有在处理腔室的处理容积内定界可移动限制区的结构。在不用于在处理腔室内转移基板的特征结构的情况下亦可利用定界可移动限制区的结构。 
本发明的实施例提供在诸如处理腔室或负载锁定腔室的腔室内使用的箍组件。箍组件包括三或更多个升降指及限制环。可利用升降致动器向上及向下移动箍组件。箍组件可用来使用升降指从基板支撑托架组件中抓取基板,且可通过将基板转移至升降指及从升降指中转移基板用来允许机器人刃转移基板进入及离开腔室。限制环具有实质上对称的圆柱形内壁且限制环在腔室的处理容积内部限定且径向定界限制区。可移动限制环至外接基板及基板支撑托架组件的位置以通过用限制环的内壁环绕基板在基板周围且正好在基板上方建立对称限制区,因此消除由腔室壁的不对称或不规则形状引起的处理非均匀性,例如连接内腔室容积至流量阀门的狭缝隧道区域的效应。另外,限制环亦减少狭缝隧道区域对处理化学作用的暴露,因此保持狭缝隧道区域清洁。限制环可由石英材料形成以减少在基板周围自由基的重组,理论上增加对基板的自由基通量及随后的处理效能。 
图1为根据本发明的一个实施例的具有箍组件144的双负载锁定腔室100的示意性截面图。虽然在具有处理容积的负载锁定腔室的上下文中描述箍组件144,但应理解可在任何适当调适的负载锁定腔室及/或处理腔室内利用箍组件144,包括其中需要具有对称限制区的具有单处理容积的那些腔室。 
双负载锁定腔室100包括转移及处理基板104的上腔室容积120及转移基板104的下腔室容积110。上腔室容积120及下腔室容积110垂直堆栈且相互隔离。下负载锁定容积110及上负载锁定容积120中的每一者可经由设置用于基板转移的两个开口有选择地连接至两个邻接外部环境(亦即,工厂接口及转移腔室,两者皆未图示。 
双负载锁定腔室100包括腔室主体103。在一个实施例中,腔室主体103包括上腔室主体121及下腔室主体111,该上腔室主体121及该下腔室主体111耦接在一起以限定上腔室容积120及下腔室容积110。 
双负载锁定腔室100可包括设置在上腔室容积120的上的喷头129、设置在上腔室容积120内的基板支撑托架组件132、箍组件144,该箍组件144经设置以于上腔室容积120内限制限制区以及装载且卸载基板。双负载锁定腔室100可包括支撑销113以用于在下腔室容积110内支撑基板104。 
通过上腔室主体121的侧壁124、设置在侧壁124的上的盖环127、上腔室主体121的底壁123及下腔室主体111的上壁118来限定上腔室容积120。盖环127具有固持喷头129的内唇部127a及源接装板128。盖环127形成上腔室容积120的顶板的一部分。源接装板128具有中央开口128a,该中央开口128a与喷头129的中央开口1129e匹配。远程等离子体源130经由石英嵌件131及喷头129与上腔室容积120流体连通。 
远程等离子体源130通常连接至一或更多个气体控制板。在一个实施例中,远程等离子体源130连接至第一气体控制板101及第二气体控制板102,该第一气体控制板101经设置以用于在蚀刻的后提供减弱处理的处理气体以移除残留材料,该第二气体控制板102经设置以用于提供灰化处理的处理气体以移除光阻剂。 
设想亦在于,可选择性利用一或更多个等离子体产生器以代替远程等离子体源130或除远程等离子体源130之外还利用一或更多个等离子体产生器来在上腔室容积120内维持等离子体。等离子体产生器可为定位于上腔室容积120之外或之内的RF驱动线圈,及/或设置于基板支撑托架组件132中、喷头129上方或喷头129本身中的至少一者处的RF驱动电极。 
设置于上腔室容积120内的基板支撑托架组件132用于支撑且使用内部加热器(未图示)加热基板104。聚焦环151可设置于基板支撑托架组件132的外边缘上。在处理期间,聚焦环151在基板104的边缘区域周围起作用以维持基板104且改变处理速率。 
基板支撑托架组件132安装于绝热器143上,该绝热器143设置于下腔室主体111的上壁118上。绝热器143防止在基板支撑托架组件132与腔室主体103之间的热转移。在一个实施例中,绝热器143与基板支撑托架组件132的中央轴132a对准以确保在热膨胀期间基板支撑托架组件132保持居中。 
悬臂管136附接于接近基板支撑托架组件132中心的背侧134b。悬臂管136径向向外延伸以与垂直管137连接。管136、137不接触上腔室主体121或下腔室主体111以进一步避免介于基板支撑托架组件132与腔室主体111、121之间的热交换。悬臂管136及垂直管137提供用于待由基板支撑托架组件132使用的电源、传感器及其它配线的通道。在一个实施例中,加热器功率源138、传感器讯号接收器139及夹持控制单元140经由在悬臂管136及垂直管137内的通道配线至基板支撑托架组件132。 
冷却适配器141从下腔室主体111外部耦接至垂直管137。冷却流体142的源连接至设置于冷却适配器141内的冷却通道141a。冷却适配器141控制介于垂直管137、悬臂管136与基板支撑托架组件132之间的热交换的速率及方向。在一个实施例中,诸如双金属连接器的热分解可用于连接垂直管137、悬臂管136及基板支撑托架组件132以将基板支撑托架组件132与腔室主体103热隔离,从而允许更精确控制及快速响应通过托架组件132加热的基板的温度。 
上腔室主体及下腔室主体的更详细描述可见于美国临时专利申请案第61/448,027号,该专利申请案于2011年3月1日提出申请,标题为“Abatement and Strip Process Chamber in a Dual Load Lock Configuration”(档案号15751L)。 
基板支撑托架组件132的更详细描述可见于美国临时专利申请案第61/448,018号,该专利申请案于2011年3月1日提出 申请,标题为“Thin Heated Substrate Support”(档案号15750L)。 
根据本发明的一个实施例,箍组件144设置于上腔室容积120内。如上所述,箍组件144可用于其它处理腔室及/或负载锁定腔室内。箍组件144具有两个功能。第一,箍组件144经垂直可定位以能够在基板支撑托架组件132与基板转移装置(例如机器人端效器)之间转移基板进入上腔室容积120。第二,箍组件144亦经可定位以在处理期间限定在基板104周围的对称限制区144a及正好在基板支撑托架组件132上方的区域,因此,在上腔室容积120内提供对称处理环境,此举增强了处理效果。亦可单独利用箍组件144以在处理容积内建立对称限制区。 
箍组件144包括设置于上腔室容积120内的环状箍主体146。环状箍主体146具有大于基板支撑托架组件132的直径的内径。箍主体146耦接至轴160,该轴160经由腔室主体103延伸至升降致动器169。诸如线性致动器或马达的升降致动器169可操作以在上腔室容积120内控制箍主体146的垂直高度。在一个实施例中,已证实波纹管161用于防止介于轴160与腔室主体103之间的漏泄。 
箍组件144亦包括附接于箍主体146的三或更多个升降指147。升降指147经设置以在基板支撑托架组件132与诸如机器人的基板转移装置之间转移基板,当箍组件144处于上部转移位置时,基板转移装置延伸进入上腔室容积120,如图1所示。升降指147从箍主体146垂直向下延伸且转为径向向内,终止于端147a。升降指147的端147a形成基板支撑表面,该基板支撑表面经设置以在接近基板104的边缘区域的若干点处支撑基板104。在箍主体146的下表面187与端147a之间限定间隔179,该间隔179足以允许机器人端效器从升降指147的端147a升降基板104而不碰撞下表面187。 
箍组件144亦包括附接于箍主体146的限制环145。限制环145从箍主体146垂直向上延伸。在一个实施例中,限制环145为具有实质上圆柱形内壁145a的圆柱形环。内壁145a的高度145b远大于基板104的厚度以便内壁145a在基板104周围及基板104上方可限制一部分上处理容积作为对称限制区144a。在一个实施例中,限制环145的内壁145a的高度145b远大于基板支撑托架组件132的厚度以允许限制环145与基板支撑托架组件132重迭,同时限制环145仍在设置于基板支撑托架组件132上的基板104上方充分延伸。限制环145的内壁145a具有大于基板支撑托架组件132的外径的直径。限制环145的内壁145a亦可具有大于喷头129的外径的直径。在一个实施例中,限制环145具有在处理期间足以同时与基板支撑托架组件132及喷头129两者重迭的高度。 
在处理期间,升降致动器169可定位箍主体146于降低的处理位置,如图2所示,以便限制环145在设置于基板支撑托架组件132上的基板104周围在上腔室容积120内定界且进而建立圆柱形限制区144a。在图2所绘的实施例中,在上腔室容积120内的圆柱形限制区144a具有完全对称圆柱形边界,因为内壁145a将圆柱形限制区144a遮蔽而免受不对称的影响,该等不对称可存在于腔室主体103内,诸如流量阀隧道及类似物。由圆柱形限制区144a提供的对称处理环境通过减少传导率及/或电不对称增强处理均匀性,该等传导率及/或电不对称对基板处理均匀性具有负效应。 
箍组件144的升降指147与在基板支撑托架组件132内形成的开孔155对准。当降低箍组件144时,升降指147的端147a通过至基板支撑托架组件132的上表面133a下方且进入开孔155内,因此从升降指147的端147a转移基板104至基板支撑托架组件132的上表面133a。反的,当升高箍主体146时, 升降指147经由开孔155向上移动以与基板104接触且从基板支撑托架组件132的上表面133a举升基板104。 
回到图1,孔穴127b形成于盖环127内,当箍组件144处于升高位置时,该孔穴127b接受限制环145的上部。在一个实施例中,孔穴127b为环形缝。孔穴127b允许升降指147与流量阀隧道(未图示)对准,因此能够用机器人端效器(亦未图示)转移基板而无需增加上腔室容积120的容积以容纳限制环145的运动,此举将不利地导致较慢泵时间、气体使用率增加、较大泵、较高能量消耗及较高腔室制造成本。 
图3示意性地图标箍组件144的俯视图,该箍组件144定位于移除喷头129的上腔室主体121的上腔室容积120内。经由侧壁124形成两个基板转移开口325以允许基板转移及外部机器人通过。可将流量阀门(未图示)附接在每一开口325外以有选择地将上腔室容积120从上腔室容积120外部的邻接环境密封。 
箍主体146及限制环145具有环绕基板104及基板支撑托架组件132的足够大内径145d,因此直接在基板104上方限定及定界对称限制区144a。升降指147从箍主体146及限制环145径向向内延伸至一直径,该直径小于基板104及基板支撑托架组件132的直径,因此当在基板支撑托架组件132上方举升时,允许指147支撑基板104。 
在图3所示的实施例中,三个升降指147用来限定基板支撑表面。配置三个升降指147以便升降指147不干扰机器人端效器,该等机器人端效器经由开口325延伸至上腔室容积120内。在一个实施例中,升降指147用单升降指147及剩余一对升降指147形成Y形状,该等单升降指147在箍主体146的侧连接至轴160,且该剩余一对升降指147位于箍主体146的相对侧且与单升降指147等距间隔。 
如图3所示,上腔室主体121具有不规则(例如非圆柱形)内壁321、连接至基板支撑托架组件132的垂直管137及真空端口390,该不规则内壁321具有定位于相对侧的用于流量阀门的开口325及用于轴160的额外开孔360、361、362。在基板支撑托架组件132周围定位限制环145以将基板104上方的处理区域(例如包容区144a)遮蔽而免受上腔室主体121的内壁321的不规则形状(诸如基板转移开口325)的影响,且在基板支撑托架组件132及直接在基板支撑托架组件132上方的处理容积区周围径向提供实质上对称垂直边界。在一个实施例中,限制环145及基板支撑托架组件132为实质上同心。 
图4为根据本发明的一个实施例的箍组件144的分解图。箍主体146的内唇部483径向向内延伸且箍主体146的内唇部483提供支撑限制环145的实质上平坦表面。可使用适当紧固件、黏合剂或其它紧固方法将升降指147附接于箍主体146的下表面489。在一个实施例中,螺栓476可用来将升降指147附接至箍主体146。附接于轴160的上端的波纹管161可附接于箍主体146的手柄部分485。在一个实施例中,可通过一或更多个螺栓477将波纹管161附接于箍主体146。在波纹管161周围可设置一或更多个遮蔽件463、464以减少由波纹管161的运动产生的粒子污染。 
在一个实施例中,限制环145为圆柱形套环,该圆柱形套环的内表面471为圆柱形壁。限制环145的上端474及下端472可实质上相互平行。限制环145可包括一或更多个通孔402以允许经由限制环145观察限制区。在一个实施例中,限制环145可由石英形成。在处理期间石英限制环145连同石英喷头129一起建立用于等离子体的石英内衬,因此减少种类重组及粒子污染。 
在限制环145的外表面473上形成实质上垂直脊470。垂直脊470可不完全延伸至限制环145的下端472的底部以确保限制环145的正确定向,如下文进一步论述。 
在一个实施例中,箍主体146包括具有圆柱形内壁487的框架部分486及从一侧上的框架部分486径向向外延伸的手柄部分485。在框架部分486的圆柱形内壁487内可形成实质上垂直凹槽480。凹槽480可不完全延伸至箍主体146的内唇部483。凹槽480与限制环145的脊470紧密配合,因此当装配时将限制环145定位至箍主体146,如图4A图示。由于垂直脊470从上端474延伸,故若脊470与凹槽480啮合且限制环145的下端472朝向箍主体146定向,则限制环145将仅平坦搁置于箍主体146上,因此防止在倒转定向上安装限制环145。 
参阅图4B所绘的箍组件的局部图,一或更多个上升定位特征结构499从箍主体146的内唇部483向上延伸。一或更多个上升定位特征结构499中的每一者与在限制环145的下端472内形成的关联缝498紧密配合。紧密配合的定位特征结构499及缝498确保限制环145相对于箍主体146的预先限定的角定向,该角定向将通孔402对准以允许通过测量法传感器(未图示)经由限制环145观察限制区。在一个实施例中,箍主体146具有在箍主体146的内唇部483上间隔的三个定位特征结构499,同时限制环145具有三个类似间隔缝498。三或更多个上升定位特征结构499建立限制环145静置于其上的平面,以便限制环145不偏斜或倾斜。 
为了装配,首先对准限制环145的脊470与箍主体146的凹槽480,且在圆柱形内壁487内部限制环145为滑动配合以便限制环145的下端472静置于箍主体146的内唇部483上。在凹槽480内锁紧限制环145的脊470以防止介于限制环145与箍主体146之间的相对运动。在一个实施例中,限制环145为可移动设置于箍主体146上以便于替换。 
可经由箍主体146形成通孔481、482以用于分别安装升降指147及波纹管161。在一个实施例中,升降指147及波纹管161两者皆从箍主体146的下表面489附接于箍主体146。 
图5为箍主体146沿着图4所示的第5--5线的截面图。箍主体146可由金属形成。在一个实施例中,箍主体146由铝形成。箍主体146的下表面489可为实质上平坦。可将箍主体146的上表面588从手柄部分485至框架部分486倾斜以减少箍主体146的厚度且减少箍主体146的体积。 
图6为根据本发明的一个实施例的升降指147的透视图。每一升降指147可具有L形状,其中垂直部分677连接至水平部分678。在垂直部分677上可形成孔676且在孔676内可设置螺纹嵌件675。螺纹嵌件675经设置以与螺栓476紧密配合以用于将升降指147附接至箍主体146。在水平部分678的上表面679上定位接触端147a。当附接于箍主体146时,升降指147的垂直部分677建立介于箍主体146的下表面489与接触端147a之间之间隔179。间隔179允许基板通过。 
升降指147的垂直部分677及水平部分678可由金属形成。在一个实施例中,垂直部分677及水平部分678由铝形成。螺纹嵌件675可由耐磨损及耐擦伤材料形成,诸如 
Figure DEST_PATH_GDA00003118076300121
不锈钢。接触端147a可由陶瓷材料形成以减少来从接触基板的粒子产生。在一个实施例中,接触端147a可由氮化硅形成。接触端147a可包括球状物或其它上升特征结构602以减少与基板接触的表面区域。 
图7为根据本发明的一个实施例图示波纹管161的箍组件144的局部截面侧视图。在波纹管161的回旋761周围设置两个遮蔽件463、464以防止粒子进入回旋761内且变为于回旋761内截留。在一个实施例中,波纹管161由耐腐蚀材料形成,例如 242合金。 
在一个实施例中,波纹管161的回旋761经设计以使粒子远离高应力位置以延长波纹管161的寿命时间。 
图8A及图8B示意性图示处于延伸及压缩位置的波纹管161的一部分回旋761。在接近具有高应力的内部焊接位置863 处形成凹曲线862。如图8A所示,当回旋761处于延伸位置时,外部粒子可沿着路径861进入回旋761。当回旋761延伸且压缩(图示于图8B中)时,凹曲线862将保持凹形,且粒子最终聚集在凹曲线862的底部,其中存在较多间隙及较低应力。因此波纹管161防止粒子朝向内部焊接位置863移动,因此避免进一步加压力于内部焊接位置863。 
根据本发明的实施例的箍组件144具有若干优势。第一,箍组件节省空间且简化腔室设计的其余部分。第二,箍组件允许腔室主体几何结构得以从基板限制区几何结构中去耦,提供对称或其它预先决定的基板限制区,即使腔室主体具有容纳其它腔室组件的不规则形状。第三,箍组件允许基板处理区域得以通过不同于腔室主体的材料环绕。举例而言,石英而不是铝可用来限制处理环境以在处理区域内减少等离子体的自由基重组。 
此外,可调整限制环145的几何结构及在基板支撑托架组件132周围的聚焦环151的大小以控制在限制环145与聚焦环151之间的气体传导率。相对于在限制环145的顶端与盖环127之间的传导率,可将在限制环145与聚焦环151之间的传导率选择为高,进而引起大部分气体在限制环145内部经由基板104位于其内的限制区向下流动。 
即使在示例性实施例中描述圆柱形箍,但可设计箍具有其它形状以满足设计要求。举例而言,可在腔室内使用矩形箍以用于转移或处理矩形基板,其中矩形箍仍提供对称限制区。即使上文在负载锁定腔室的应用中描述本发明的实施例,但可将本发明的实施例应用于任何处理腔室。 
尽管前文针对本发明的实施例,但可在不脱离本发明的基本范畴的情况下设计本发明的其它及进一步实施例,且通过随后的申请专利范围决定本发明的范畴。 

Claims (10)

1.一种用于转移基板及限制自由基的的箍组件,所述箍组件包括: 
石英限制环,所述限制环在所述限制环内限定限制区,所述限制环包括: 
圆柱形套管,其具有形成圆柱形壁的内表面和外表面,所述圆柱形套管具有与下端基本平行的上端;以及 
大致垂直脊,其形成在所述外表面上,所述垂直脊不完全延伸到所述圆柱形套管的所述下端。 
2.根据权利要求1所述的箍组件,所述箍组件还包括: 
箍主体,所述箍主体具有支撑所述限制环的唇部。 
3.根据权利要求2所述的箍组件,所述箍组件还包括: 
三或更多个升降指,所述三或更多个升降指在所述限制环下方延伸,其中,所述三或更多个升降指中的每一者具有从所述限制环径向向内定位的接触端,以在所述限制区下方形成基板支撑表面且将所述基板支撑表面与所述限制区间隔开,所述限制区由所述限制环限定。 
4.根据权利要求3所述的箍组件,其中,将所述三或更多个升降指中的每一者附接于所述箍主体的下表面。 
5.根据权利要求4所述的箍组件,其中,每一升降指包括: 
垂直部分,所述垂直部分附接于所述箍主体的所述下表面;以及 
水平部分,所述水平部分连接至所述垂直部分且所述水平部分径向向内延伸,其中,所述接触端定位于所述水平部分上。 
6.根据权利要求2所述的箍组件,其中,所述箍主体包括: 
框架部分,所述框架部分限定中央开口;以及 
手柄部分,所述手柄部分在所述中央开口外的一侧处连接至所述框架部分。 
7.根据权利要求6所述的箍组件,所述箍组件还包括: 
轴,所述轴附接于所述箍主体的所述手柄部分。 
8.根据权利要求2所述的箍组件,其中,所述限制环具有脊,所述脊与在所述箍主体内形成的凹槽紧密配合。 
9.根据权利要求2所述的箍组件,其中,所述箍主体由铝形成。 
10.根据权利要求1所述的箍组件,其中,所述限制环还包括: 
在所述垂直延伸侧壁的内表面与外表面之间形成一或更多个通孔,以允许通过所述限制环观察限制区。 
CN2012900000913U 2011-03-01 2012-02-29 用于转移基板及限制自由基的箍组件 Expired - Lifetime CN203205393U (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161448012P 2011-03-01 2011-03-01
US61/448,012 2011-03-01
PCT/US2012/027097 WO2012148568A1 (en) 2011-03-01 2012-02-29 Method and apparatus for substrate transfer and radical confinement

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201320533432.XU Division CN203746815U (zh) 2011-03-01 2012-02-29 用于处理基板的腔室

Publications (1)

Publication Number Publication Date
CN203205393U true CN203205393U (zh) 2013-09-18

Family

ID=47072677

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201320533432.XU Expired - Lifetime CN203746815U (zh) 2011-03-01 2012-02-29 用于处理基板的腔室
CN2012900000913U Expired - Lifetime CN203205393U (zh) 2011-03-01 2012-02-29 用于转移基板及限制自由基的箍组件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201320533432.XU Expired - Lifetime CN203746815U (zh) 2011-03-01 2012-02-29 用于处理基板的腔室

Country Status (6)

Country Link
US (4) US10090181B2 (zh)
JP (1) JP6054314B2 (zh)
KR (1) KR101904146B1 (zh)
CN (2) CN203746815U (zh)
TW (2) TWM458653U (zh)
WO (1) WO2012148568A1 (zh)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9464732B2 (en) 2012-04-26 2016-10-11 Applied Materials, Inc. Apparatus for uniform pumping within a substrate process chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104051210B (zh) * 2013-03-12 2016-05-11 中微半导体设备(上海)有限公司 一种减少门效应的等离子体处理装置
US9524889B2 (en) * 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9520312B2 (en) * 2014-12-19 2016-12-13 Varian Semiconductor Equipment Associates, Inc. System and method for moving workpieces between multiple vacuum environments
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN106298498B (zh) * 2015-06-11 2018-12-25 中微半导体设备(上海)有限公司 刻蚀形成硅通孔的方法与硅通孔刻蚀装置
TWM539571U (zh) * 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210233798A1 (en) * 2018-05-29 2021-07-29 Fabworx Solutions, Inc. Degas chamber lift hoop
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN113130284B (zh) * 2019-12-31 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5223112A (en) * 1991-04-30 1993-06-29 Applied Materials, Inc. Removable shutter apparatus for a semiconductor process chamber
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) * 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753133A (en) * 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
TW275132B (en) 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JPH08148473A (ja) 1994-11-15 1996-06-07 Toshiba Corp プラズマ処理装置
US5976310A (en) * 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
TW332311B (en) 1996-03-08 1998-05-21 Nat Denki Kk The substrate treatment apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
JP2001025725A (ja) 1999-07-14 2001-01-30 Heisei Sangyo:Kk 廃ガラス材からの有効資材の製造方法
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
EP1134303B1 (en) 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
JP4627392B2 (ja) 2001-09-26 2011-02-09 株式会社アルバック 真空処理装置および真空処理方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP3116197U (ja) * 2004-06-28 2005-12-02 アプライド マテリアルズ インコーポレイテッド プロセス残留物を付着する表面を有する基板処理チャンバー用コンポーネント
JP5531284B2 (ja) * 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
KR100816615B1 (ko) 2005-09-14 2008-03-24 도시바 기카이 가부시키가이샤 다이 클램핑 장치
KR100830745B1 (ko) * 2005-09-14 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치, cor 처리 모듈 및 기판 리프트 장치
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
WO2008114958A1 (en) 2007-03-16 2008-09-25 Sosul Co., Ltd. Apparatus for plasma processing and method for plasma processing
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
WO2010042410A2 (en) 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
JP5141520B2 (ja) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN103370768B (zh) 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室

Also Published As

Publication number Publication date
CN203746815U (zh) 2014-07-30
US20200411350A1 (en) 2020-12-31
US20200066563A1 (en) 2020-02-27
US10468282B2 (en) 2019-11-05
WO2012148568A1 (en) 2012-11-01
JP6054314B2 (ja) 2016-12-27
TWI560759B (en) 2016-12-01
JP2014508417A (ja) 2014-04-03
TW201243923A (en) 2012-11-01
US10090181B2 (en) 2018-10-02
US20140087561A1 (en) 2014-03-27
TWM458653U (zh) 2013-08-01
KR101904146B1 (ko) 2018-10-04
KR20140083923A (ko) 2014-07-04
US11574831B2 (en) 2023-02-07
US20180247850A1 (en) 2018-08-30

Similar Documents

Publication Publication Date Title
CN203205393U (zh) 用于转移基板及限制自由基的箍组件
US7480129B2 (en) Detachable electrostatic chuck for supporting a substrate in a process chamber
US10204805B2 (en) Thin heated substrate support
US5855675A (en) Multipurpose processing chamber for chemical vapor deposition processes
TWI729871B (zh) 用於高功率電漿蝕刻處理的氣體分配板組件
WO2008048518A1 (en) Detachable electrostatic chuck having sealing assembly
CN104885192A (zh) 石英上拱形结构及下拱形结构
TW200900539A (en) Processing chamber with heated chamber liner
KR20170084372A (ko) Epi 베이스 링
CN104205321A (zh) 具有实时热区调节能力的高温静电夹具
KR101179640B1 (ko) Msm 구성요소 및 연관된 가스 패널 조립체
US11557500B2 (en) High temperature heated support pedestal in a dual load lock configuration
TWI690012B (zh) 具有加熱機制之晶圓座及包含該晶圓座的反應腔體
KR101273635B1 (ko) 척 구조체 및 이를 이용한 반도체 기판 처리 장치
WO2022240560A1 (en) Chamber architecture for epitaxial deposition and advanced epitaxial film applications
CN117043398A (zh) 用于外延沉积和先进外延膜应用的腔室架构

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20130918

CX01 Expiry of patent term