TWM458653U - 用於轉移基板及限制自由基之設備 - Google Patents

用於轉移基板及限制自由基之設備 Download PDF

Info

Publication number
TWM458653U
TWM458653U TW101220836U TW101220836U TWM458653U TW M458653 U TWM458653 U TW M458653U TW 101220836 U TW101220836 U TW 101220836U TW 101220836 U TW101220836 U TW 101220836U TW M458653 U TWM458653 U TW M458653U
Authority
TW
Taiwan
Prior art keywords
hoop
assembly
substrate
ring
hoop assembly
Prior art date
Application number
TW101220836U
Other languages
English (en)
Inventor
Jared-Ahmad Lee
Martin Jeff Salinas
Paul B Reuter
Imad Yousif
Aniruddha Pal
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TWM458653U publication Critical patent/TWM458653U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

用於轉移基板及限制自由基之設備
本創作之實施例大體而言係關於一種在半導體基板上製造裝置之設備。更特定言之,本創作之實施例提供一種在腔室內轉移基板及限制處理環境之設備。
在半導體裝置之製造期間,通常在處理腔室內處理基板,在該處理腔室中可對基板執行沉積、蝕刻、熱處理。尤其隨著半導體元件之尺寸快速減少,改良處理均勻性及減少粒子污染為半導體處理之兩個恆定目標。
半導體處理腔室大體而言包括腔室主體,腔室主體界定用於處理基板之內容積。在處理期間通常將基板支撐安置於內容積中以支撐基板。經由腔室主體可形成一或更多個流量閥門以允許基板通過而進入及離開內容積。經由腔室主體亦形成氣體供應路徑及泵浦通道以提供處理氣體且泵浦內容積至所要壓力。流量閥開口、氣體供應路徑、泵浦通道及基板支撐通常引起腔室主體之內壁不對稱及/或不規則,因此引起非均勻傳導率及/或電場不對稱。因此,可將基板上之不同區域暴露於不同處理條件下且整個基板之處理均勻性減低。此外,處理氣體可行進至流量閥區域且在流量閥區域周圍引起污染。
因此,存在對於在半導體處理腔室內改良製程均勻性且減少污染之方法及設備之需要。
本創作之實施例大體而言提供處理基板之設備。更特定言之,本創作之實施例提供在腔室內轉移基板及限制處理環境之設備。
本創作之一個實施例提供用於使用處理腔室之箍組件。箍組件包括:限制環,該限制環於該限制環內界定限制區;及三或更多個升降指,該三或更多個升降指在限制環下方延伸。三或更多個升降指中之每一者具有自限制環徑向向內定置之接觸端,以在限制區下方形成基板支撐表面且將該基板支撐表面與限制區間隔開,該限制區由限制環界定。
本創作之另一實施例提供用於處理基板之腔室。腔室包括:腔室主體,該腔室主體於該腔室主體內界定腔室容積;基板支撐托架組件,安置於該腔室容積內;及箍組件,該箍組件在腔室容積內部可移動。腔室主體具有可密封基板轉移開口。箍組件包括在升高位置與降低位置之間可移動之限制環。限制環在降低位置於基板支撐托架組件上方界定限制之限制區。
本創作之實施例提供用於在半導體基板上製造元件之設備。更特定言之,本創作之實施例係關於基板轉移設備,該基板轉移設備具有在處理腔室之製程容積內定界可移動限制區之結構。在無用於在處理腔室內轉移基板 之特徵結構之情況下亦可利用定界可移動限制區之結構。
本創作之實施例提供在諸如處理腔室或負載鎖定腔室之腔室內使用之箍組件。箍組件包括三或更多個升降指及限制環。可利用升降致動器向上及向下移動箍組件。箍組件可用來使用升降指自基板支撐托架組件中抓取基板,且可藉由將基板轉移至升降指及自升降指中轉移基板用來允許機器人刃轉移基板進入及離開腔室。限制環具有實質上對稱之圓柱形內壁且限制環在腔室之處理容積內部界定且徑向定界限制區。可移動限制環至外接基板及基板支撐托架組件之位置以藉由用限制環之內壁環繞基板在基板周圍且正好在基板上方建立對稱限制區,因此消除由腔室壁之不對稱或不規則形狀引起之處理非均勻性,例如連接內腔室容積至流量閥門之狹縫隧道區域之效應。另外,限制環亦減少狹縫隧道區域對製程化學作用之暴露,因此保持狹縫隧道區域清潔。限制環可由石英材料形成以減少在基板周圍自由基之重組,理論上增加對基板之自由基通量及隨後之製程效能。
第1圖為根據本創作之一個實施例之具有箍組件144之雙負載鎖定腔室100之示意性截面圖。雖然在具有處理容積之負載鎖定腔室的上下文中描述箍組件144,但應理解可在任何適當調適之負載鎖定腔室及/或處理腔室內利用箍組件144,包括其中需要具有對稱限制區之具有單處理容積之彼等腔室。
雙負載鎖定腔室100包括轉移及處理基板104之上腔室容積120及轉移基板104之下腔室容積110。上腔室容積120及下腔室容積110垂直堆疊且相互隔離。下負載鎖定容積110及上負載鎖定容積120中之每一者可經由設置用於基板轉移之兩個開口有選擇地連接至兩個鄰接外部環境(亦即,工廠介面及轉移腔室,兩者皆未圖示)。
雙負載鎖定腔室100包括腔室主體103。在一個實施例中,腔室主體103包括上腔室主體121及下腔室主體111,該上腔室主體121及該下腔室主體111耦接在一起以界定上腔室容積120及下腔室容積110。
雙負載鎖定腔室100可包括安置在上腔室容積120之上的噴淋頭129、安置在上腔室容積120內之基板支撐托架組件132、箍組件144,該箍組件144經設置以於上腔室容積120內限制限制區以及裝載且卸載基板。雙負載鎖定腔室100可包括支撐銷113以用於在下腔室容積110內支撐基板104。
藉由上腔室主體121之側壁124、安置在側壁124之上的蓋環127、上腔室主體121之底壁123及下腔室主體111之上壁118來界定上腔室容積120。蓋環127具有固持噴淋頭129之內唇部127a及源接裝板128。蓋環127形成上腔室容積120之頂板之一部分。源接裝板128具有中央開口128a,該中央開口128a與噴淋頭129之中央開口129e匹配。遠端電漿源130經由石英嵌件131 及噴淋頭129與上腔室容積120流體連通。
遠端電漿源130通常連接至一或更多個氣體控制板。在一個實施例中,遠端電漿源130連接至第一氣體控制板101及第二氣體控制板102,該第一氣體控制板101經設置以用於在蝕刻之後提供減弱製程之處理氣體以移除殘留材料,該第二氣體控制板102經設置以用於提供灰化製程之處理氣體以移除光阻劑。
設想亦在於,可選擇性利用一或更多個電漿產生器以代替遠端電漿源130或除遠端電漿源130之外還利用一或更多個電漿產生器來在上腔室容積120內維持電漿。電漿產生器可為定置於上腔室容積120之外或之內的RF驅動線圈,及/或安置於基板支撐托架組件132中、噴淋頭129上方或噴淋頭129本身中之至少一者內之RF驅動電極。
安置於上腔室容積120內之基板支撐托架組件132用於支撐且使用內部加熱器(未圖示)加熱基板104。聚焦環151可安置於基板支撐托架組件132之外邊緣上。在處理期間,聚焦環151在基板104之邊緣區域周圍起作用以維持基板104且改變處理速率。
基板支撐托架組件132安裝於絕熱器143上,該絕熱器143安置於下腔室主體111之上壁118上。絕熱器143防止在基板支撐托架組件132與腔室主體103之間的熱轉移。在一個實施例中,絕熱器143與基板支撐托架組件132之中央軸132a對準以確保在熱膨脹期間基板支撐 托架組件132保持居中。
懸臂管136附接於接近基板支撐托架組件132中心之背側134b。懸臂管136徑向向外延伸以與垂直管137連接。管136、137不接觸上腔室主體121或下腔室主體111以進一步避免介於基板支撐托架組件132與腔室主體111、121之間的熱交換。懸臂管136及垂直管137提供用於待由基板支撐托架組件132使用之電源、感測器及其他配線之通道。在一個實施例中,加熱器功率源138、感測器訊號接收器139及夾持控制單元140經由在懸臂管136及垂直管137內之通道配線至基板支撐托架組件132。
冷卻適配器141自下腔室主體111外部耦接至垂直管137。冷卻流體142之源連接至安置於冷卻適配器141內之冷卻通道141a。冷卻適配器141控制介於垂直管137、懸臂管136與基板支撐托架組件132之間的熱交換之速率及方向。在一個實施例中,諸如雙金屬連接器之熱分解可用於連接垂直管137、懸臂管136及基板支撐托架組件132以將基板支撐托架組件132與腔室主體103熱隔離,從而允許更精確控制及快速響應藉由托架組件132加熱之基板之溫度。
上腔室主體及下腔室主體之更詳細描述可見於美國臨時專利申請案第61/448,027號,該專利申請案於2011年3月1日提出申請,標題為「Abatement and Strip Process Chamber in a Dual Load Lock Configuration」(檔 案號15751L)。
基板支撐托架組件132之更詳細描述可見於美國臨時專利申請案第61/448,018號,該專利申請案於2011年3月1日提出申請,標題為「Thin Heated Substrate Support」(檔案號15750L)。
根據本創作之一個實施例,箍組件144安置於上腔室容積120內。如上所述,箍組件144可用於其他處理腔室及/或負載鎖定腔室內。箍組件144具有兩個功能。第一,箍組件144經垂直可定置以能夠在基板支撐托架組件132與基板轉移裝置(例如機器人端效器)之間轉移基板進入上腔室容積120。第二,箍組件144亦經可定置以在處理期間界定在基板104周圍之對稱限制區144a及正好在基板支撐托架組件132上方之區域,因此,在上腔室容積120內提供對稱處理環境,此舉增強了處理效果。亦可單獨利用箍組件144以在處理容積內建立對稱限制區。
箍組件144包括安置於上腔室容積120內之環狀箍主體146。環狀箍主體146具有大於基板支撐托架組件132之直徑的內徑。箍主體146耦接至軸160,該軸160經由腔室主體103延伸至升降致動器169。諸如線性致動器或馬達之升降致動器169可操作以在上腔室容積120內控制箍主體146之垂直高度。在一個實施例中,已證實波紋管161用於防止介於軸160與腔室主體103之間的漏洩。
箍組件144亦包括附接於箍主體146之三或更多個升降指147。升降指147經設置以在基板支撐托架組件132與諸如機器人之基板轉移裝置之間轉移基板,當箍組件144處於上部轉移位置時,基板轉移裝置延伸進入上腔室容積120,如第1圖所示。升降指147自箍主體146垂直向下延伸且轉為徑向向內,終止於端147a。升降指147之端147a形成基板支撐表面,該基板支撐表面經設置以在接近基板104之邊緣區域的若干點處支撐基板104。在箍主體146之下表面187與端147a之間界定間隔179,該間隔179足以允許機器人端效器自升降指147之端147a升降基板104而不碰撞下表面187。
箍組件144亦包括附接於箍主體146之限制環145。限制環145自箍主體146垂直向上延伸。在一個實施例中,限制環145為具有實質上圓柱形內壁145a之圓柱形環。內壁145a之高度145b遠大於基板104之厚度以便內壁145a在基板104周圍及基板104上方可限制一部分上處理容積作為對稱限制區144a。在一個實施例中,限制環145之內壁145a之高度145b遠大於基板支撐托架組件132之厚度以允許限制環145與基板支撐托架組件132重疊,同時限制環145仍在安置於基板支撐托架組件132上之基板104上方充分延伸。限制環145之內壁145a具有大於基板支撐托架組件132之外徑的直徑。限制環145之內壁145a亦可具有大於噴淋頭129之外徑的直徑。在一個實施例中,限制環145具有在處理期間足 以同時與基板支撐托架組件132及噴淋頭129兩者重疊之高度。
在處理期間,升降致動器169可定置箍主體146於降低之處理位置,如第2圖所示,以便限制環145在安置於基板支撐托架組件132上之基板104周圍在上腔室容積120內定界且進而建立圓柱形限制區144a。在第2圖所繪之實施例中,在上腔室容積120內之圓柱形限制區144a具有完全對稱圓柱形邊界,因為內壁145a將圓柱形限制區144a遮蔽而免受不對稱的影響,該等不對稱可存在於腔室主體103內,諸如流量閥隧道及類似物。由圓柱形限制區144a提供之對稱處理環境藉由減少傳導率及/或電不對稱增強製程均勻性,該等傳導率及/或電不對稱對基板製程均勻性具有負效應。
箍組件144之升降指147與在基板支撐托架組件132內形成之開孔155對準。當降低箍組件144時,升降指147之端147a通過至基板支撐托架組件132之上表面133a下方且進入開孔155內,因此自升降指147之端147a轉移基板104至基板支撐托架組件132之上表面133a。反之,當升高箍主體146時,升降指147經由開孔155向上移動以與基板104接觸且自基板支撐托架組件132之上表面133a舉升基板104。
回到第1圖,孔穴127b形成於蓋環127內,當箍組件144處於升高位置時,該孔穴127b接受限制環145之上部。在一個實施例中,孔穴127b為環形縫。孔穴127b 允許升降指147與流量閥隧道(未圖示)對準,因此能夠用機器人端效器(亦未圖示)轉移基板而無需增加上腔室容積120之容積以容納限制環145之運動,此舉將不利地導致較慢泵浦時間、氣體使用率增加、較大泵、較高能量消耗及較高腔室製造成本。
第3圖示意性地圖示箍組件144之俯視圖,該箍組件144定置於移除噴淋頭129之上腔室主體121之上腔室容積120內。經由側壁124形成兩個基板轉移開口325以允許基板轉移及外部機器人通過。可將流量閥門(未圖示)附接在每一開口325外以有選擇地將上腔室容積120自上腔室容積120外部之鄰接環境密封。
箍主體146及限制環145具有環繞基板104及基板支撐托架組件132之足夠大內徑145d,因此直接在基板104上方界定及定界對稱限制區144a。升降指147自箍主體146及限制環145徑向向內延伸至一直徑,該直徑小於基板104及基板支撐托架組件132之直徑,因此當在基板支撐托架組件132上方舉升時,允許指147支撐基板104。
在第3圖所示之實施例中,三個升降指147用來界定基板支撐表面。配置三個升降指147以便升降指147不幹擾機器人端效器,該等機器人端效器經由開口325延伸至上腔室容積120內。在一個實施例中,升降指147用單升降指147及剩餘一對升降指147形成Y形狀,該等單升降指147在箍主體146之側連接至軸160,且該 剩餘一對升降指147位於箍主體146之相對側且與單升降指147等距間隔。
如第3圖所示,上腔室主體121具有不規則(例如非圓柱形)內壁321、連接至基板支撐托架組件132之垂直管137及真空埠390,該不規則內壁321具有定置於相對側之用於流量閥門之開口325及用於軸160之額外開孔360、361、362。在基板支撐托架組件132周圍定置限制環145以將基板104上方之處理區域(例如包容區144a)遮蔽而免受上腔室主體121之內壁321之不規則形狀(諸如基板轉移開口325)的影響,且在基板支撐托架組件132及直接在基板支撐托架組件132上方之處理容積區周圍徑向提供實質上對稱垂直邊界。在一個實施例中,限制環145及基板支撐托架組件132為實質上同心。
第4圖為根據本創作之一個實施例之箍組件144之分解圖。箍主體146之內唇部483徑向向內延伸且箍主體146之內唇部483提供支撐限制環145之實質上平坦表面。可使用適當緊固件、黏合劑或其他緊固方法將升降指147附接於箍主體146之下表面489。在一個實施例中,螺栓476可用來將升降指147附接至箍主體146。附接於軸160之上端的波紋管161可附接於箍主體146之手柄部分485。在一個實施例中,可藉由一或更多個螺栓477將波紋管161附接於箍主體146。在波紋管161周圍可安置一或更多個遮蔽件463、464以減少由波紋管 161之運動產生之粒子污染。
在一個實施例中,限制環145為圓柱形套環,該圓柱形套環之內表面471為圓柱形壁。限制環145之上端474及下端472可實質上相互平行。限制環145可包括一或更多個通孔402以允許經由限制環145觀察限制區。在一個實施例中,限制環145可由石英形成。在處理期間石英限制環145連同石英噴淋頭129一起建立用於電漿之石英內襯,因此減少種類重組及粒子污染。
在限制環145之外表面473上形成實質上垂直脊470。垂直脊470可不完全延伸至限制環145之下端472之底部以確保限制環145之正確定向,如下文進一步論述。
在一個實施例中,箍主體146包括具有圓柱形內壁487之框架部分486及自一側上之框架部分486徑向向外延伸之手柄部分485。在框架部分486之圓柱形內壁487內可形成實質上垂直凹槽480。凹槽480可不完全延伸至箍主體146之內唇部483。凹槽480與限制環145之脊470緊密配合,因此當裝配時將限制環145定位至箍主體146,如第4A圖圖示。由於垂直脊470自上端474延伸,故若脊470與凹槽480嚙合且限制環145之下端472朝向箍主體146定向,則限制環145將僅平坦擱置於箍主體146上,因此防止在倒轉定向上安裝限制環145。
參閱第4B圖所繪之箍組件之局部圖,一或更多個上 升定位特徵結構499自箍主體146之內唇部483向上延伸。一或更多個上升定位特徵結構499中之每一者與在限制環145之下端472內形成之關聯縫498緊密配合。緊密配合之定位特徵結構499及縫498確保限制環145相對於箍主體146之預先界定之角定向,該角定向將通孔402對準以允許藉由測量法感測器(未圖示)經由限制環145觀察限制區。在一個實施例中,箍主體146具有在箍主體146之內唇部483上間隔之三個定位特徵結構499,同時限制環145具有三個類似間隔縫498。三或更多個上升定位特徵結構499建立限制環145靜置於其上之平面,以便限制環145不偏斜或傾斜。
為了裝配,首先對準限制環145之脊470與箍主體146之凹槽480,且在圓柱形內壁487內部限制環145為滑動配合以便限制環145之下端472靜置於箍主體146之內唇部483上。在凹槽480內鎖緊限制環145之脊470以防止介於限制環145與箍主體146之間的相對運動。在一個實施例中,限制環145為可移動安置於箍主體146上以便於替換。
可經由箍主體146形成通孔481、482以用於分別安裝升降指147及波紋管161。在一個實施例中,升降指147及波紋管161兩者皆自箍主體146之下表面489附接於箍主體146。
第5圖為箍主體146沿著第4圖所示之第5--5線之截面圖。箍主體146可由金屬形成。在一個實施例中, 箍主體146由鋁形成。箍主體146之下表面489可為實質上平坦。可將箍主體146之上表面588自手柄部分485至框架部分486傾斜以減少箍主體146之厚度且減少箍主體146之體積。
第6圖為根據本創作之一個實施例的升降指147之透視圖。每一升降指147可具有L形狀,其中垂直部分677連接至水平部分678。在垂直部分677上可形成孔676且在孔676內可安置螺紋嵌件675。螺紋嵌件675經設置以與螺栓476緊密配合以用於將升降指147附接至箍主體146。在水平部分678之上表面679上定置接觸端147a。當附接於箍主體146時,升降指147之垂直部分677建立介於箍主體146之下表面489與接觸端147a之間的間隔179。間隔179允許基板通過。
升降指147之垂直部分677及水平部分678可由金屬形成。在一個實施例中,垂直部分677及水平部分678由鋁形成。螺紋嵌件675可由耐磨損及耐擦傷材料形成,諸如NITRONIC®不銹鋼。接觸端147a可由陶瓷材料形成以減少來自接觸基板之粒子產生。在一個實施例中,接觸端147a可由氮化矽形成。接觸端147a可包括球狀物或其他上升特徵結構602以減少與基板接觸之表面區域。
第7圖為根據本創作之一個實施例圖示波紋管161之箍組件144之局部截面側視圖。在波紋管161之迴旋761周圍安置兩個遮蔽件463、464以防止粒子進入迴旋761 內且變為於迴旋761內截留。在一個實施例中,波紋管161由耐腐蝕材料形成,例如HAYNES® 242合金。
在一個實施例中,波紋管161之迴旋761經設計以使粒子遠離高應力位置以延長波紋管161之壽命時間。
第8A圖及第8B圖示意性圖示處於延伸及壓縮位置之波紋管161之一部分迴旋761。在接近具有高應力之內部焊接位置863處形成凹曲線862。如第8A圖所示,當迴旋761處於延伸位置時,外部粒子可沿著路徑861進入迴旋761。當迴旋761延伸且壓縮(圖示於第8B圖中)時,凹曲線862將保持凹形,且粒子最終聚集在凹曲線862之底部,其中存在較多間隙及較低應力。因此波紋管161防止粒子朝向內部焊接位置863移動,因此避免進一步加壓力於內部焊接位置863。
根據本創作之實施例之箍組件144具有若干優勢。第一,箍組件節省空間且簡化腔室設計之其餘部分。第二,箍組件允許腔室主體幾何結構得以自基板限制區幾何結構中去耦,提供對稱或其他預先決定之基板限制區,即使腔室主體具有容納其他腔室組件之不規則形狀。第三,箍組件允許基板處理區域得以藉由不同於腔室主體之材料環繞。舉例而言,石英而不是鋁可用來限制處理環境以在處理區域內減少電漿之自由基重組。
此外,可調整限制環145之幾何結構及在基板支撐托架組件132周圍之聚焦環151之大小以控制在限制環145與聚焦環151之間的氣體傳導率。相對於在限制環 145之頂端與蓋環127之間的傳導率,可將在限制環145與聚焦環151之間的傳導率選擇為高,進而引起大部分氣體在限制環145內部經由基板104位於其內之限制區向下流動。
即使在示例性實施例中描述圓柱形箍,但可設計箍具有其他形狀以滿足設計要求。舉例而言,可在腔室內使用矩形箍以用於轉移或處理矩形基板,其中矩形箍仍提供對稱限制區。即使上文在負載鎖定腔室之應用中描述本創作之實施例,但可將本創作之實施例應用於任何製程腔室。
儘管前文針對本創作之實施例,但可在不脫離本創作之基本範疇之情況下設計本創作之其他及進一步實施例,且藉由隨後之申請專利範圍決定本創作之範疇。
100‧‧‧雙負載鎖定腔室
101‧‧‧第一氣體控制板
102‧‧‧第二氣體控制板
103‧‧‧腔室主體
104‧‧‧基板
110‧‧‧下腔室容積/下負載鎖定容積
111‧‧‧下腔室主體
113‧‧‧支撐銷
118‧‧‧上壁
120‧‧‧上腔室容積/上負載鎖定容積
121‧‧‧上腔室主體
123‧‧‧底壁
124‧‧‧側壁
127‧‧‧蓋環
127a‧‧‧內唇部
127b‧‧‧孔穴
128‧‧‧源接裝板
128a‧‧‧中央開口
129‧‧‧噴淋頭
129e‧‧‧中央開口
130‧‧‧遠端電漿源
131‧‧‧石英嵌件
132‧‧‧基板支撐托架組件
132a‧‧‧中央軸
133a‧‧‧上表面
134b‧‧‧背側
136‧‧‧懸臂管
137‧‧‧垂直管
138‧‧‧加熱器功率源
139‧‧‧感測器訊號接收器
140‧‧‧夾持控制單元
141‧‧‧冷卻適配器
141a‧‧‧冷卻通道
142‧‧‧冷卻流體
143‧‧‧絕熱器
144‧‧‧箍組件
144a‧‧‧限制區
145‧‧‧限制環
145a‧‧‧內壁
145b‧‧‧高度
145d‧‧‧內徑
146‧‧‧箍主體
147‧‧‧升降指
147a‧‧‧端
151‧‧‧聚焦環
155‧‧‧開孔
160‧‧‧軸
161‧‧‧波紋管
169‧‧‧升降致動器
179‧‧‧間隔
187‧‧‧下表面
321‧‧‧不規則內壁
325‧‧‧開口
360‧‧‧開孔
361‧‧‧開孔
362‧‧‧開孔
390‧‧‧真空埠
402‧‧‧通孔
463‧‧‧遮蔽件
464‧‧‧遮蔽件
470‧‧‧垂直脊/脊
471‧‧‧內表面
472‧‧‧下端
473‧‧‧外表面
474‧‧‧上端
476‧‧‧螺栓
477‧‧‧螺栓
480‧‧‧凹槽
481‧‧‧通孔
482‧‧‧通孔
483‧‧‧內唇部
485‧‧‧手柄部分
486‧‧‧框架部分
487‧‧‧圓柱形內壁
489‧‧‧下表面
498‧‧‧空間縫/縫
499‧‧‧上升定位特徵結構
588‧‧‧上表面
602‧‧‧上升特徵結構
675‧‧‧螺紋嵌件
676‧‧‧孔
677‧‧‧垂直部分
678‧‧‧水平部分
679‧‧‧上表面
761‧‧‧迴旋
861‧‧‧路徑
862‧‧‧凹曲線
863‧‧‧內部焊接位置
因此,可詳細理解本創作之上述特徵結構之方式,即上文簡要概述之本創作之更特定描述可參照實施例進行,該等實施例中之一些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示本創作之典型實施例,且因此不欲將隨附圖式視為本創作範疇之限制,因為本創作可允許其他同等有效之實施例。
1 圖為根據本創作之一個實施例之具有箍組件之負載鎖定腔室之示意性截面圖。
2 圖為箍組件處於裝載/卸載位置之第1 圖之負載鎖 定腔室之示意性截面圖。
3 圖為根據本創作之一個實施例之具有箍組件之負載鎖定腔室之示意性俯視圖。
4 圖為根據本創作之一個實施例之箍組件之分解圖。
第4A圖為箍組件之局部截面圖。
第4B圖為自箍組件之中心向外觀察之箍組件之部分之局部圖。
5 圖為根據本創作之一個實施例之箍主體之截面圖。
第6圖為根據本創作之一個實施例之升降指之透視圖。
第7圖為根據本創作之一個實施例圖示具有波紋管之升降致動器之箍組件之局部截面側視圖。
第8A圖及第8B圖示意性地圖示處於延伸及壓縮位置之波紋管。
為促進理解,在可能之情況下,使用相同元件符號來表示諸圖所共用之相同元件。設想在於,在一個實施例中揭示之元件可有益地用於其他實施例而無需特定敍述。
144‧‧‧箍組件
145‧‧‧限制環
146‧‧‧箍主體
147‧‧‧升降指
147a‧‧‧端
160‧‧‧軸
161‧‧‧波紋管
402‧‧‧通孔
463‧‧‧遮蔽件
464‧‧‧遮蔽件
470‧‧‧垂直脊/脊
471‧‧‧內表面
472‧‧‧下端
473‧‧‧外表面
474‧‧‧上端
476‧‧‧螺栓
477‧‧‧螺栓
480‧‧‧凹槽
481‧‧‧通孔
482‧‧‧通孔
483‧‧‧內唇部
485‧‧‧手柄部分
486‧‧‧框架部分
487‧‧‧圓柱形內壁
489‧‧‧下表面

Claims (10)

  1. 一種用於一處理腔室之箍組件,該箍組件包含:一石英限制環,該限制環於該限制環內界定一限制區,該限制環包含:一圓柱形套環,該圓柱形套環具有形成一圓柱形壁之一內表面以及一外表面,該圓柱形套環具有實質上平行於一下端之一上端;及一實質上垂直脊,該垂直脊形成於該外表面上,該垂直脊不完全延伸至該圓柱形套環之該下端。
  2. 如請求項1所述之箍組件,該箍組件進一步包含:一箍主體,該箍主體具有支撐該限制環之一唇部。
  3. 如請求項2所述之箍組件,該箍組件進一步包含:三或更多個升降指,該三或更多個升降指在該限制環下方延伸,其中該三或更多個升降指中之每一者具有自該限制環徑向向內定置之一接觸端,以在該限制區下方形成一基板支撐表面且將該基板支撐表面與該限制區間隔開,該限制區由該限制環界定。
  4. 如請求項3所述之箍組件,其中將該三或更多個升降指中之每一者附接於該箍主體之一下表面。
  5. 如請求項4所述之箍組件,其中每一升降指包含:一垂直部分,該垂直部分附接於該箍主體之該下表面;以及一水平部分,該水平部分連接至該垂直部分且該水平部分徑向向內延伸,其中該接觸端定置於該水平部分上。
  6. 如請求項2所述之箍組件,其中該箍主體包含:一框架部分,該框架部分界定一中央開口;以及一手柄部分,該手柄部分在該中央開口外之一側處連接至該框架部分。
  7. 如請求項6所述之箍組件,該箍組件進一步包含:一軸,該軸附接於該箍主體之該手柄部分。
  8. 如請求項2所述之箍組件,其中該限制環具有一脊,該脊與在該箍主體內形成之一凹槽緊密配合。
  9. 如請求項2所述之箍組件,其中該箍主體由鋁形成。
  10. 如請求項1所述之箍組件,其中該限制環進一步包含:一或更多個通孔,該一或更多個通孔形成於該圓柱形壁之該內表面與該外表面之間,以允許經由該限制環觀察該限制區。
TW101220836U 2011-03-01 2012-03-01 用於轉移基板及限制自由基之設備 TWM458653U (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161448012P 2011-03-01 2011-03-01

Publications (1)

Publication Number Publication Date
TWM458653U true TWM458653U (zh) 2013-08-01

Family

ID=47072677

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101106773A TWI560759B (en) 2011-03-01 2012-03-01 Method and apparatus for substrate transfer and radical confinement
TW101220836U TWM458653U (zh) 2011-03-01 2012-03-01 用於轉移基板及限制自由基之設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101106773A TWI560759B (en) 2011-03-01 2012-03-01 Method and apparatus for substrate transfer and radical confinement

Country Status (6)

Country Link
US (4) US10090181B2 (zh)
JP (1) JP6054314B2 (zh)
KR (1) KR101904146B1 (zh)
CN (2) CN203746815U (zh)
TW (2) TWI560759B (zh)
WO (1) WO2012148568A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI620247B (zh) * 2015-06-11 2018-04-01 Advanced Micro Fab Equip Inc 蝕刻形成矽通孔的方法與矽通孔刻蝕裝置

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US9464732B2 (en) 2012-04-26 2016-10-11 Applied Materials, Inc. Apparatus for uniform pumping within a substrate process chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104051210B (zh) * 2013-03-12 2016-05-11 中微半导体设备(上海)有限公司 一种减少门效应的等离子体处理装置
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101734821B1 (ko) * 2013-03-15 2017-05-12 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9520312B2 (en) * 2014-12-19 2016-12-13 Varian Semiconductor Equipment Associates, Inc. System and method for moving workpieces between multiple vacuum environments
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI674168B (zh) * 2015-07-27 2019-10-11 美商應用材料股份有限公司 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6742849B2 (ja) * 2016-07-29 2020-08-19 株式会社アルバック 基板搬送ロボット、真空処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
SG11202011779WA (en) * 2018-05-29 2020-12-30 Fabworx Solutions Inc Degas chamber lift hoop
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN113130284B (zh) * 2019-12-31 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5223112A (en) * 1991-04-30 1993-06-29 Applied Materials, Inc. Removable shutter apparatus for a semiconductor process chamber
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) * 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5753133A (en) * 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
TW275132B (en) 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JPH08148473A (ja) 1994-11-15 1996-06-07 Toshiba Corp プラズマ処理装置
US5976310A (en) * 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
EP0797241A3 (en) 1996-03-08 2002-05-15 Kokusai Electric Co., Ltd. Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
ATE533178T1 (de) 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
JP2001025725A (ja) 1999-07-14 2001-01-30 Heisei Sangyo:Kk 廃ガラス材からの有効資材の製造方法
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
EP1134303B1 (en) 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
JP4627392B2 (ja) 2001-09-26 2011-02-09 株式会社アルバック 真空処理装置および真空処理方法
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP3116197U (ja) * 2004-06-28 2005-12-02 アプライド マテリアルズ インコーポレイテッド プロセス残留物を付着する表面を有する基板処理チャンバー用コンポーネント
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
KR100816615B1 (ko) 2005-09-14 2008-03-24 도시바 기카이 가부시키가이샤 다이 클램핑 장치
KR100830745B1 (ko) 2005-09-14 2008-05-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치, cor 처리 모듈 및 기판 리프트 장치
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
WO2008114958A1 (en) 2007-03-16 2008-09-25 Sosul Co., Ltd. Apparatus for plasma processing and method for plasma processing
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
KR101577474B1 (ko) 2008-02-08 2015-12-14 램 리써치 코포레이션 플라즈마 프로세싱 장치용 rf 리턴 스트랩
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
CN103337453B (zh) 2008-10-07 2017-10-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
JP5141520B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US20130334199A1 (en) 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
US20120222813A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Vacuum chambers with shared pump
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI620247B (zh) * 2015-06-11 2018-04-01 Advanced Micro Fab Equip Inc 蝕刻形成矽通孔的方法與矽通孔刻蝕裝置

Also Published As

Publication number Publication date
WO2012148568A1 (en) 2012-11-01
US20140087561A1 (en) 2014-03-27
US10468282B2 (en) 2019-11-05
KR20140083923A (ko) 2014-07-04
KR101904146B1 (ko) 2018-10-04
US11574831B2 (en) 2023-02-07
US20200411350A1 (en) 2020-12-31
JP2014508417A (ja) 2014-04-03
US10090181B2 (en) 2018-10-02
US20200066563A1 (en) 2020-02-27
CN203205393U (zh) 2013-09-18
US20180247850A1 (en) 2018-08-30
TW201243923A (en) 2012-11-01
CN203746815U (zh) 2014-07-30
JP6054314B2 (ja) 2016-12-27
TWI560759B (en) 2016-12-01

Similar Documents

Publication Publication Date Title
US11574831B2 (en) Method and apparatus for substrate transfer and radical confinement
TWI557787B (zh) 薄加熱基板支撐件
JP3398936B2 (ja) 半導体処理装置
KR102569094B1 (ko) 플라즈마 프로세싱 시스템들에서 사용하기 위한 캐리어 플레이트
US20190027394A1 (en) Lift pin assembly
US11152196B2 (en) Substrate processing apparatus
JP2008078546A (ja) 基板処理装置および反応容器の着脱方法
US8943669B2 (en) Assembly method for vacuum processing apparatus
JP7114270B2 (ja) タンデム処理領域を有するプラズマチャンバ
US8741096B2 (en) Apparatus for semiconductor processing
KR102347144B1 (ko) 듀얼 로드 락 구성에서의 고온 가열식 지지 페데스탈
KR102189151B1 (ko) 기판처리장치
WO2022086927A1 (en) Thermally uniform deposition station
KR101273635B1 (ko) 척 구조체 및 이를 이용한 반도체 기판 처리 장치
JP2008060148A (ja) 真空処理装置及び真空処理方法
JP7477593B2 (ja) エッチングチャンバのための低接触面積基板支持体
KR102129766B1 (ko) 플라즈마 경계 제한 유닛, 그리고 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
MK4K Expiration of patent term of a granted utility model