ATE533178T1 - Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren - Google Patents

Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren

Info

Publication number
ATE533178T1
ATE533178T1 AT99202911T AT99202911T ATE533178T1 AT E533178 T1 ATE533178 T1 AT E533178T1 AT 99202911 T AT99202911 T AT 99202911T AT 99202911 T AT99202911 T AT 99202911T AT E533178 T1 ATE533178 T1 AT E533178T1
Authority
AT
Austria
Prior art keywords
capacitor
integrated circuit
production method
related production
etch
Prior art date
Application number
AT99202911T
Other languages
English (en)
Inventor
Theodore S Moise
Guoqiang Xing
Mark Visokay
Justin F Gaynor
Scott R Summerfelt
Stephen R Gilbert
Francis Celii
Luigi Colombo
Mark A Kressley
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of ATE533178T1 publication Critical patent/ATE533178T1/de

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
AT99202911T 1998-09-09 1999-09-09 Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren ATE533178T1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9957198P 1998-09-09 1998-09-09
US9984898P 1998-09-11 1998-09-11
US11422898P 1998-12-30 1998-12-30
US12368799P 1999-03-10 1999-03-10

Publications (1)

Publication Number Publication Date
ATE533178T1 true ATE533178T1 (de) 2011-11-15

Family

ID=27493068

Family Applications (1)

Application Number Title Priority Date Filing Date
AT99202911T ATE533178T1 (de) 1998-09-09 1999-09-09 Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren

Country Status (4)

Country Link
US (3) US6211035B1 (de)
EP (1) EP1001459B1 (de)
JP (1) JP2000133633A (de)
AT (1) ATE533178T1 (de)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333066B1 (en) * 1997-11-21 2001-12-25 Samsung Electronics Co., Ltd. Method for forming PZT thin film using seed layer
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
JP2002510146A (ja) 1998-01-13 2002-04-02 アプライド マテリアルズ インコーポレイテッド 異方性プラチナプロファイルのエッチング方法
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6972436B2 (en) * 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
JP3931445B2 (ja) * 1998-09-10 2007-06-13 株式会社日立製作所 半導体装置の製造方法
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6204178B1 (en) * 1998-12-29 2001-03-20 Micron Technology, Inc. Nucleation and deposition of PT films using ultraviolet irradiation
US6387748B1 (en) * 1999-02-16 2002-05-14 Micron Technology, Inc. Semiconductor circuit constructions, capacitor constructions, and methods of forming semiconductor circuit constructions and capacitor constructions
US6265262B1 (en) * 1999-06-02 2001-07-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR20010001924A (ko) * 1999-06-09 2001-01-05 김영환 반도체소자의 커패시터 제조방법
KR100309077B1 (ko) * 1999-07-26 2001-11-01 윤종용 삼중 금속 배선 일 트랜지스터/일 커패시터 및 그 제조 방법
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
DE19942119C2 (de) * 1999-09-03 2002-08-08 Mosel Vitelic Inc Oberflächenbehandlung für eine Metallschicht
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
KR100328450B1 (ko) * 1999-12-29 2002-03-16 박종섭 반도체 소자의 캐패시터 제조방법
KR20010059284A (ko) * 1999-12-30 2001-07-06 박종섭 반도체 소자의 캐패시터 형성방법
JP3976462B2 (ja) * 2000-01-26 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
GB2361244B (en) 2000-04-14 2004-02-11 Trikon Holdings Ltd A method of depositing dielectric
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
US6455326B1 (en) * 2000-05-15 2002-09-24 Ramtron International Corporation Enhanced process capability for sputtered ferroelectric films using low frequency pulsed DC and RF power supplies
US6573167B2 (en) * 2000-08-10 2003-06-03 Texas Instruments Incorporated Using a carbon film as an etch hardmask for hard-to-etch materials
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6787833B1 (en) * 2000-08-31 2004-09-07 Micron Technology, Inc. Integrated circuit having a barrier structure
US6956238B2 (en) 2000-10-03 2005-10-18 Cree, Inc. Silicon carbide power metal-oxide semiconductor field effect transistors having a shorting channel and methods of fabricating silicon carbide metal-oxide semiconductor field effect transistors having a shorting channel
US7067176B2 (en) 2000-10-03 2006-06-27 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
US6610366B2 (en) 2000-10-03 2003-08-26 Cree, Inc. Method of N2O annealing an oxide layer on a silicon carbide layer
US6767843B2 (en) * 2000-10-03 2004-07-27 Cree, Inc. Method of N2O growth of an oxide layer on a silicon carbide layer
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
US6737728B1 (en) * 2000-10-12 2004-05-18 Intel Corporation On-chip decoupling capacitor and method of making same
US6958508B2 (en) 2000-10-17 2005-10-25 Matsushita Electric Industrial Co., Ltd. Ferroelectric memory having ferroelectric capacitor insulative film
JP4829678B2 (ja) * 2000-10-17 2011-12-07 パナソニック株式会社 強誘電体メモリ及びその製造方法
US6627463B1 (en) * 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6440753B1 (en) * 2001-01-24 2002-08-27 Infineon Technologies North America Corp. Metal hard mask for ILD RIE processing of semiconductor memory devices to prevent oxidation of conductive lines
US6740603B2 (en) * 2001-02-01 2004-05-25 Texas Instruments Incorporated Control of Vmin transient voltage drift by maintaining a temperature less than or equal to 350° C. after the protective overcoat level
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
DE10109328A1 (de) 2001-02-27 2002-09-12 Infineon Technologies Ag Verfahren zur Entfernung einer Maskenschicht von einem Halbleitersubstrat
US6900498B2 (en) * 2001-05-08 2005-05-31 Advanced Technology Materials, Inc. Barrier structures for integration of high K oxides with Cu and Al electrodes
JP2002353414A (ja) * 2001-05-22 2002-12-06 Oki Electric Ind Co Ltd 誘電体キャパシタおよびその製造方法
US6828162B1 (en) * 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
US6727140B2 (en) * 2001-07-11 2004-04-27 Micron Technology, Inc. Capacitor with high dielectric constant materials and method of making
JP2003059905A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法、キャパシタの製造方法、および半導体装置
JP2003059906A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法およびキャパシタを形成する方法
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
TWI276153B (en) * 2001-11-12 2007-03-11 Hynix Semiconductor Inc Method for fabricating semiconductor device
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
US20040259316A1 (en) * 2001-12-05 2004-12-23 Baki Acikel Fabrication of parallel plate capacitors using BST thin films
AU2002230805A1 (en) * 2001-12-14 2003-06-30 Midwest Research Institute Tunable circuit for tunable capacitor devices
US6635498B2 (en) 2001-12-20 2003-10-21 Texas Instruments Incorporated Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6773930B2 (en) * 2001-12-31 2004-08-10 Texas Instruments Incorporated Method of forming an FeRAM capacitor having a bottom electrode diffusion barrier
US6713342B2 (en) * 2001-12-31 2004-03-30 Texas Instruments Incorporated FeRAM sidewall diffusion barrier etch
US6767750B2 (en) 2001-12-31 2004-07-27 Texas Instruments Incorporated Detection of AIOx ears for process control in FeRAM processing
US7067235B2 (en) * 2002-01-15 2006-06-27 Ming Huan Tsai Bi-layer photoresist dry development and reactive ion etch method
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US7129178B1 (en) 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
JP2003243630A (ja) * 2002-02-18 2003-08-29 Sony Corp 磁気メモリ装置およびその製造方法
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US20060252265A1 (en) * 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030176073A1 (en) * 2002-03-12 2003-09-18 Chentsau Ying Plasma etching of Ir and PZT using a hard mask and C12/N2/O2 and C12/CHF3/O2 chemistry
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
JP4090766B2 (ja) * 2002-03-19 2008-05-28 富士通株式会社 半導体装置の製造方法
JP2003289134A (ja) * 2002-03-28 2003-10-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
JP3883470B2 (ja) 2002-05-14 2007-02-21 沖電気工業株式会社 半導体装置の製造方法
US20030224536A1 (en) * 2002-06-04 2003-12-04 Andreas Hilliger Contact formation
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6664168B1 (en) * 2002-07-24 2003-12-16 Intel Corporation Method of making an on-die decoupling capacitor for a semiconductor device
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US7022378B2 (en) * 2002-08-30 2006-04-04 Cree, Inc. Nitrogen passivation of interface states in SiO2/SiC structures
US6841458B2 (en) * 2002-09-12 2005-01-11 Intel Corporation Dopant interface formation
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040072442A1 (en) * 2002-10-15 2004-04-15 Francis Gabriel Celii Low-bias bottom electrode etch for patterning ferroelectric memory elements
US7183120B2 (en) * 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US6943398B2 (en) * 2002-11-13 2005-09-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
EP1420451A3 (de) * 2002-11-13 2007-10-03 Matsushita Electric Industrial Co., Ltd. Nichtflüchtige Halbleiterspeicheranordnung und deren Herstellungsverfahren
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7221010B2 (en) * 2002-12-20 2007-05-22 Cree, Inc. Vertical JFET limited silicon carbide power metal-oxide semiconductor field effect transistors
KR100484900B1 (ko) * 2002-12-30 2005-04-22 동부아남반도체 주식회사 반도체 제조 장치에서의 플라즈마 점화 방법
KR20040060562A (ko) * 2002-12-30 2004-07-06 동부전자 주식회사 반도체 소자 제조시 배리어 메탈 산화방지방법
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US6858442B2 (en) * 2003-02-25 2005-02-22 Infineon Technologies Aktiengesellschaft Ferroelectric memory integrated circuit with improved reliability
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7250349B2 (en) * 2003-03-06 2007-07-31 Texas Instruments Incorporated Method for forming ferroelectric memory capacitor
JP4650602B2 (ja) * 2003-03-26 2011-03-16 セイコーエプソン株式会社 強誘電体キャパシタの製造方法
JP2004296923A (ja) * 2003-03-27 2004-10-21 Seiko Epson Corp 強誘電体キャパシタの製造方法、強誘電体キャパシタ、記憶素子、電子素子、メモリ装置及び電子機器
US6998275B2 (en) 2003-04-09 2006-02-14 Texas Instruments Incorporated Hydrogen-less CVD TiN process for FeRAM VIA0 barrier application
JP4901105B2 (ja) * 2003-04-15 2012-03-21 富士通セミコンダクター株式会社 半導体装置の製造方法
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6979863B2 (en) * 2003-04-24 2005-12-27 Cree, Inc. Silicon carbide MOSFETs with integrated antiparallel junction barrier Schottky free wheeling diodes and methods of fabricating the same
US7074643B2 (en) * 2003-04-24 2006-07-11 Cree, Inc. Silicon carbide power devices with self-aligned source and well regions and methods of fabricating same
US6869812B1 (en) 2003-05-13 2005-03-22 Heng Liu High power AllnGaN based multi-chip light emitting diode
US6841396B2 (en) 2003-05-19 2005-01-11 Texas Instruments Incorporated VIA0 etch process for FRAM integration
US6867447B2 (en) * 2003-05-20 2005-03-15 Texas Instruments Incorporated Ferroelectric memory cell and methods for fabricating the same
CN100470806C (zh) * 2003-05-27 2009-03-18 松下电器产业株式会社 半导体器件的制造方法
US7228865B2 (en) * 2003-05-28 2007-06-12 Texas Instruments Incorporated FRAM capacitor stack clean
KR100533973B1 (ko) * 2003-06-30 2005-12-07 주식회사 하이닉스반도체 하부전극과 강유전체막의 접착력을 향상시킬 수 있는강유전체캐패시터 형성 방법
US20050003673A1 (en) * 2003-07-02 2005-01-06 Omid Mahdavi Thin film resistor etch
US6967365B2 (en) * 2003-07-15 2005-11-22 Texas Instruments Incorporated Ferroelectric memory cell with angled cell transistor active region and methods for fabricating the same
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7015049B2 (en) * 2003-09-03 2006-03-21 Infineon Technologies Ag Fence-free etching of iridium barrier having a steep taper angle
US6953724B2 (en) * 2003-09-25 2005-10-11 International Business Machines Corporation Self-limited metal recess for deep trench metal fill
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
JP4610486B2 (ja) * 2003-12-26 2011-01-12 富士通セミコンダクター株式会社 半導体装置、半導体装置の製造方法
US20050145908A1 (en) * 2003-12-30 2005-07-07 Moise Theodore S.Iv High polarization ferroelectric capacitors for integrated circuits
KR100583961B1 (ko) * 2004-01-26 2006-05-26 삼성전자주식회사 복수개의 박스형 강유전체 커패시터들을 제조하는 방법
CN100452404C (zh) * 2004-02-19 2009-01-14 富士通微电子株式会社 半导体装置的制造方法
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7001836B2 (en) * 2004-03-25 2006-02-21 Taiwan Semiconductor Manufacturing Company Two step trench definition procedure for formation of a dual damascene opening in a stack of insulator layers
US20060255349A1 (en) * 2004-05-11 2006-11-16 Heng Liu High power AllnGaN based multi-chip light emitting diode
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
KR100601959B1 (ko) * 2004-07-28 2006-07-14 삼성전자주식회사 Ir-Ru 합금 전극 및 이를 하부 전극으로 사용한강유전체 캐패시터
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
DE102004046174B4 (de) * 2004-09-23 2008-12-11 Texas Instruments Deutschland Gmbh Integrierter BiCMOS-Halbleiterschaltkreis
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US7102233B2 (en) * 2004-10-21 2006-09-05 International Business Machines Corporation Structure for strained channel field effect transistor pair having underlapped dual liners
CN101061062B (zh) * 2004-11-10 2011-03-02 大日本印刷株式会社 金属氧化物膜的制造方法
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR100641546B1 (ko) * 2004-12-16 2006-11-01 동부일렉트로닉스 주식회사 금속-절연체-금속 커패시터의 제조 방법
US7220600B2 (en) * 2004-12-17 2007-05-22 Texas Instruments Incorporated Ferroelectric capacitor stack etch cleaning methods
KR100641362B1 (ko) * 2005-01-13 2006-10-31 삼성전자주식회사 이중 확산방지막을 갖는 배선구조 및 그 제조방법
KR100718267B1 (ko) * 2005-03-23 2007-05-14 삼성전자주식회사 강유전체 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7384869B2 (en) * 2005-04-07 2008-06-10 Texas Instruments Incorporated Protection of silicon from phosphoric acid using thick chemical oxide
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7192855B2 (en) * 2005-04-15 2007-03-20 Freescale Semiconductor, Inc. PECVD nitride film
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
WO2006134663A1 (ja) 2005-06-17 2006-12-21 Fujitsu Limited 半導体装置及びその製造方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070037386A1 (en) * 2005-08-13 2007-02-15 Williams John L Sloped thin film substrate edges
JP2007081378A (ja) * 2005-08-17 2007-03-29 Fujitsu Ltd 半導体装置とその製造方法、および薄膜装置
US7727904B2 (en) * 2005-09-16 2010-06-01 Cree, Inc. Methods of forming SiC MOSFETs with high inversion layer mobility
US7728377B2 (en) * 2005-09-23 2010-06-01 Agile Rf, Inc. Varactor design using area to perimeter ratio for improved tuning range
JP2007115972A (ja) * 2005-10-21 2007-05-10 Fujitsu Ltd 半導体装置とその製造方法
EP1780779A3 (de) * 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) Verfahren zum Plasma-Ätzen von Gatterstappelung
KR100989086B1 (ko) * 2005-11-29 2010-10-25 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치와 그 제조 방법
JP4887802B2 (ja) * 2006-01-26 2012-02-29 富士通セミコンダクター株式会社 半導体装置とその製造方法
TW200731589A (en) * 2006-02-06 2007-08-16 Yang Jae Woo Organic thin film transistor using ultra-thin metal oxide as gate dielectric and fabrication method thereof
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP5028829B2 (ja) * 2006-03-09 2012-09-19 セイコーエプソン株式会社 強誘電体メモリ装置の製造方法
JP4600322B2 (ja) * 2006-03-14 2010-12-15 セイコーエプソン株式会社 強誘電体メモリ装置の製造方法
US8432012B2 (en) 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
US7728402B2 (en) * 2006-08-01 2010-06-01 Cree, Inc. Semiconductor devices including schottky diodes with controlled breakdown
KR101529331B1 (ko) 2006-08-17 2015-06-16 크리 인코포레이티드 고전력 절연 게이트 바이폴라 트랜지스터
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7692907B2 (en) * 2006-09-11 2010-04-06 Industrial Technology Research Institute Circuit for electrostatic discharge (ESD) protection
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
WO2008075412A1 (ja) * 2006-12-19 2008-06-26 Fujitsu Limited 抵抗変化素子及びその製造方法
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US8835987B2 (en) * 2007-02-27 2014-09-16 Cree, Inc. Insulated gate bipolar transistors including current suppressing layers
US7592273B2 (en) * 2007-04-19 2009-09-22 Freescale Semiconductor, Inc. Semiconductor device with hydrogen barrier and method therefor
US7812384B2 (en) 2007-04-27 2010-10-12 Kabushiki Kaisha Toshiba Semiconductor device including a transistor and a ferroelectric capacitor
JP2008277514A (ja) * 2007-04-27 2008-11-13 Toshiba Corp 半導体装置
US7709349B2 (en) * 2007-05-18 2010-05-04 Texas Instruments Incorporated Semiconductor device manufactured using a gate silicidation involving a disposable chemical/mechanical polishing stop layer
US7772014B2 (en) * 2007-08-28 2010-08-10 Texas Instruments Incorporated Semiconductor device having reduced single bit fails and a method of manufacture thereof
JP4515492B2 (ja) * 2007-08-29 2010-07-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8232558B2 (en) 2008-05-21 2012-07-31 Cree, Inc. Junction barrier Schottky diodes with current surge capability
US8138036B2 (en) * 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
JP4489132B2 (ja) 2008-08-22 2010-06-23 株式会社東芝 磁気記録媒体の製造方法
JP4575499B2 (ja) 2009-02-20 2010-11-04 株式会社東芝 磁気記録媒体の製造方法
JP4575498B2 (ja) * 2009-02-20 2010-11-04 株式会社東芝 磁気記録媒体の製造方法
US8288220B2 (en) 2009-03-27 2012-10-16 Cree, Inc. Methods of forming semiconductor devices including epitaxial layers and related structures
US8294507B2 (en) 2009-05-08 2012-10-23 Cree, Inc. Wide bandgap bipolar turn-off thyristor having non-negative temperature coefficient and related control circuits
US8193848B2 (en) 2009-06-02 2012-06-05 Cree, Inc. Power switching devices having controllable surge current capabilities
US8629509B2 (en) * 2009-06-02 2014-01-14 Cree, Inc. High voltage insulated gate bipolar transistors with minority carrier diverter
US7939421B2 (en) * 2009-07-08 2011-05-10 Nanya Technology Corp. Method for fabricating integrated circuit structures
US8541787B2 (en) * 2009-07-15 2013-09-24 Cree, Inc. High breakdown voltage wide band-gap MOS-gated bipolar junction transistors with avalanche capability
US8354690B2 (en) 2009-08-31 2013-01-15 Cree, Inc. Solid-state pinch off thyristor circuits
US8450822B2 (en) * 2009-09-23 2013-05-28 International Business Machines Corporation Thick bond pad for chip with cavity package
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8415671B2 (en) 2010-04-16 2013-04-09 Cree, Inc. Wide band-gap MOSFETs having a heterojunction under gate trenches thereof and related methods of forming such devices
JP5592210B2 (ja) * 2010-09-09 2014-09-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5238780B2 (ja) 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体とその製造方法及び磁気記録装置
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US9142662B2 (en) 2011-05-06 2015-09-22 Cree, Inc. Field effect transistor devices with low source resistance
US9029945B2 (en) 2011-05-06 2015-05-12 Cree, Inc. Field effect transistor devices with low source resistance
US9984894B2 (en) 2011-08-03 2018-05-29 Cree, Inc. Forming SiC MOSFETs with high channel mobility by treating the oxide interface with cesium ions
US9640617B2 (en) 2011-09-11 2017-05-02 Cree, Inc. High performance power module
US8618582B2 (en) 2011-09-11 2013-12-31 Cree, Inc. Edge termination structure employing recesses for edge termination elements
US8664665B2 (en) 2011-09-11 2014-03-04 Cree, Inc. Schottky diode employing recesses for elements of junction barrier array
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US9373617B2 (en) 2011-09-11 2016-06-21 Cree, Inc. High current, low switching loss SiC power module
WO2013036370A1 (en) 2011-09-11 2013-03-14 Cree, Inc. High current density power module comprising transistors with improved layout
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US10312433B2 (en) 2012-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd Reduction of capping layer resistance area product for magnetic device applications
JP2012256950A (ja) * 2012-10-01 2012-12-27 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8815729B1 (en) * 2013-03-04 2014-08-26 Globalfoundries Inc. Methods of forming structures on an integrated circuit product
US8828869B1 (en) 2013-03-28 2014-09-09 Globalfoundries Inc. Methods of forming masking layers for use in forming integrated circuit products
US9318337B2 (en) 2013-09-17 2016-04-19 Texas Instruments Incorporated Three dimensional three semiconductor high-voltage capacitors
US20160233371A1 (en) * 2014-09-04 2016-08-11 AMI Research & Development, LLC Ir planar antenna-coupled metal-insulator-metal rectifier
US9640756B2 (en) 2015-03-11 2017-05-02 Kabushiki Kaisha Toshiba Method for manufacturing magnetic memory
JP6917737B2 (ja) * 2017-03-13 2021-08-11 ユナイテッド・セミコンダクター・ジャパン株式会社 半導体装置の製造方法
CN111816605A (zh) * 2019-04-10 2020-10-23 联华电子股份有限公司 半导体元件及其制作方法
US11430861B2 (en) 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such
US11482528B2 (en) * 2019-12-27 2022-10-25 Kepler Computing Inc. Pillar capacitor and method of fabricating such
US11289497B2 (en) 2019-12-27 2022-03-29 Kepler Computing Inc. Integration method of ferroelectric memory array
US11792998B1 (en) 2021-06-11 2023-10-17 Kepler Computing Inc. Process integration flow for embedded memory with multi-pocket masks for decoupling processing of memory areas from non-memory areas
US11942133B2 (en) 2021-09-02 2024-03-26 Kepler Computing Inc. Pedestal-based pocket integration process for embedded memory
US20230067612A1 (en) * 2021-09-02 2023-03-02 Kepler Computing, Inc. Pocket integration process for embedded memory
US11869928B2 (en) 2021-12-14 2024-01-09 Kepler Computing Inc. Dual hydrogen barrier layer for memory devices
US11961877B1 (en) 2021-12-14 2024-04-16 Kepler Computing Inc. Dual hydrogen barrier layer for trench capacitors integrated with low density film for logic structures

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0239433A (ja) * 1988-07-28 1990-02-08 Nec Corp 半導体装置の製造方法
JP2926864B2 (ja) * 1990-04-12 1999-07-28 ソニー株式会社 銅系金属膜のエッチング方法
JP2524863B2 (ja) * 1990-05-02 1996-08-14 三菱電機株式会社 半導体装置およびその製造方法
EP0557937A1 (de) * 1992-02-25 1993-09-01 Ramtron International Corporation Ozongasverarbeitung für ferroelektrischen Speicherschaltungen
US5413950A (en) * 1994-04-22 1995-05-09 United Microelectronics Corporation Method of forming a DRAM stacked capacitor cell
US5380673A (en) * 1994-05-06 1995-01-10 United Microelectronics Corporation Dram capacitor structure
US5554564A (en) * 1994-08-01 1996-09-10 Texas Instruments Incorporated Pre-oxidizing high-dielectric-constant material electrodes
JP3728465B2 (ja) * 1994-11-25 2005-12-21 株式会社神戸製鋼所 単結晶ダイヤモンド膜の形成方法
JPH08186120A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5607602A (en) * 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5663090A (en) * 1995-06-29 1997-09-02 Micron Technology, Inc. Method to thermally form hemispherical grain (HSG) silicon to enhance capacitance for application in high density DRAMs
EP0756318A1 (de) * 1995-07-24 1997-01-29 International Business Machines Corporation Methode zur augenblicklichen in-situ-Überwachung eines Grabenformungsprozesses
US5705428A (en) * 1995-08-03 1998-01-06 Chartered Semiconductor Manufacturing Pte, Ltd. Method for preventing titanium lifting during and after metal etching
US5780315A (en) * 1995-09-11 1998-07-14 Taiwan Semiconductor Manufacturing Company, Ltd Dry etch endpoint method
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US5567640A (en) * 1996-01-11 1996-10-22 Vanguard International Semiconductor Corporation Method for fabricating T-shaped capacitors in DRAM cells
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US5930639A (en) * 1996-04-08 1999-07-27 Micron Technology, Inc. Method for precision etching of platinum electrodes
JP3070660B2 (ja) * 1996-06-03 2000-07-31 日本電気株式会社 気体不純物の捕獲方法及び半導体製造装置
KR100224660B1 (ko) * 1996-06-17 1999-10-15 윤종용 백금-폴리실리콘 게이트 형성방법
DE19631622A1 (de) * 1996-08-05 1998-02-12 Siemens Ag Verfahren zum plasmaunterstützten anisotropen Ätzen von Metallen, Metalloxiden und deren Gemische
TW365691B (en) * 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
US6074943A (en) * 1997-04-16 2000-06-13 Texas Instruments Incorporated Sidewalls for guiding the via etch
DE19728473A1 (de) * 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
US6171970B1 (en) * 1998-01-27 2001-01-09 Texas Instruments Incorporated Method for forming high-density integrated circuit capacitors
US6037220A (en) * 1998-07-24 2000-03-14 Vanguard International Semiconductor Corporation Method of increasing the surface area of a DRAM capacitor structure via the use of hemispherical grained polysilicon
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法

Also Published As

Publication number Publication date
EP1001459A2 (de) 2000-05-17
JP2000133633A (ja) 2000-05-12
US20030068846A1 (en) 2003-04-10
EP1001459B1 (de) 2011-11-09
US6444542B2 (en) 2002-09-03
US6902939B2 (en) 2005-06-07
US20010055852A1 (en) 2001-12-27
US6211035B1 (en) 2001-04-03
EP1001459A3 (de) 2000-09-20

Similar Documents

Publication Publication Date Title
ATE533178T1 (de) Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
DE60216241D1 (de) Rhodium-reiche sauerstoffbarrieren
TW337035B (en) Semiconductor device and method of manufacturing the same
MY122843A (en) Method of making a contact and via structure
KR940016837A (ko) 반도체 기억장치 및 그의 제조방법
KR970067977A (ko) 전극의 제조방법
EP1017096A3 (de) Verfahren zur Herstellung eines Halbleiter-Speicherbauteils
KR980006387A (ko) 아날로그용 반도체 소자의 폴리레지스터 및 그의 제조방법
WO2002025700A3 (en) Semiconductor device and method of forming a semiconductor device
EP0978877A3 (de) Halbleiterbauelement und deren Herstellungsverfahren
ATE434265T1 (de) Kondensatorstruktur mit einer vielzahl von anschlüssen
DK0994494T3 (da) Kondensator til lavinduktiv indsættelse i en mellemkreds
EP0487739A4 (en) Method of manufacturing semiconductor device
WO2002009178A3 (en) Semiconductor device and a process for forming the same
TW352476B (en) Method and process for fabricating a balanced conductor and circuit without radio interference
KR100359299B1 (en) Semiconductor memory device having resist pattern and method for forming metal contact thereof
KR970008577A (ko) Cmos 회로를 갖춘 집적 회로 및 cmos 회로의 격리된 활성 영역을 제조하기 위한 방법
EP0967651A3 (de) Halbleiterspeicher mit Kondensatordielektrikum
ATE533177T1 (de) Dielektrischer film
TW200507282A (en) Metal insulator metal capacitor
KR960009113B1 (en) Method for forming node electrode of capacitor
KR950033617A (ko) 액정표시장치의 제조방법
KR960014727B1 (en) Method of making a memory capacitor in semiconductor device
KR940012572A (ko) 반도체 장치에서의 콘택트 형성방법
KR970054054A (ko) 반도체 장치의 커패시터 제조방법