KR20010034127A - 이방성 플라티늄 프로화일을 위한 에칭 방법 - Google Patents

이방성 플라티늄 프로화일을 위한 에칭 방법 Download PDF

Info

Publication number
KR20010034127A
KR20010034127A KR1020007007740A KR20007007740A KR20010034127A KR 20010034127 A KR20010034127 A KR 20010034127A KR 1020007007740 A KR1020007007740 A KR 1020007007740A KR 20007007740 A KR20007007740 A KR 20007007740A KR 20010034127 A KR20010034127 A KR 20010034127A
Authority
KR
South Korea
Prior art keywords
platinum
layer
platinum electrode
electrode layer
etching
Prior art date
Application number
KR1020007007740A
Other languages
English (en)
Inventor
젱 에이치. 황
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010034127A publication Critical patent/KR20010034127A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 약 0.3㎛이하의 거리로 분리되며, 약 85°이상의 플라티늄 프로화일을 갖는 다수의 전극들을 포함하는 반도체 장치를 생산하기 위해, 기판상에 배치된 플라티늄 전극층을 에칭하는 방법을 제공한다. 상기 방법은 기판을 약 150℃이상의 온도로 가열하는 단계와, 에칭제 가스의 고밀도 유도성 결합식 플라즈마를 실시하므로서 플라티늄 전극층을 에칭하는 단계로 구성된다. 상기 에칭제 가스는, BCl3, HBr, 및 이들의 혼합물로 구성되는 그룹으로 부터 적절히 선택된 가스, 염소 및 아르곤으로 구성되어 있다. 반도체 장치는 기판, 상기 기판에 의해서 지지되는 다수의 플라티늄 전극들로 구성된다. 상기 플라티늄 전극들은 약 0.3㎛이하의 치수(예를들어 너비)와, 약 85°이상의 플라티늄 프로화일을 포함한다.

Description

이방성 플라티늄 프로화일을 위한 에칭 방법 {ETCHING METHODS FOR ANISOTROPIC PLATINUM PROFILE}
디지탈 정보의 저장 및 검색의 기구는 현대 디지탈 전자제품에 공통적으로 적용된다. 메모리 크기 및 액세스 시간(access time)은 컴퓨터 기술에서 발전의 척도로서 활용된다. 종종 빠른 저장의 커패시터(capacitors)는 메모리 어레이 부재로서 사용된다. 기술이 발전함에 따라, 작은-피쳐-크기의 고밀도 D-램(DRAM)장치에서는 높은 유전율의 물질를 갖는 대용량의 저장 커패시터가 필요하게 된다. 높은 유전율의 물질 또는 강유전체 물질들은 소결된 금속 산화물로 주로 구성되며, 대부분 반응성이 매우 높은 산소들을 포함한다. 상기 강유전체 물질 또는 막들을 갖는 커패시터의 정보에서, 전극들은 저장 커패시터들의 용량을 감소시키는 전극의 산화를 방지하기 위해 반응성이 낮은 물질로 제조된다. 그러므로, 귀금속, 플라티늄(Pt), 팔라듐(Pd)등은 고밀도 DRAM용 커패시터의 제조에 사용되는 물질이다.
커패시터 전극들에 가능한 사용될수 있는 귀금속들중에, 플라티늄은 산화에 대해 불활성이며 RuO2및 Pt와 같은 다른 전극들보다 낮은 누설 전류(〈10amps/㎠)를 갖고 있기 때문에, 가장 많이 사용될수 있는 귀금속이다. 또한, 플라티늄은 양호한 전도체이다.
종래 기술에서, 플라티늄 에칭은 왕수(aqua regia, 진한 질산과 진한 염산의 혼합액)로 습윤(wet) 에칭과 같은 등방향성(isotropic)에칭에 의해서, 또는 아르곤 으로 이온 혼합제(milling)와 같은 이방성(anisotropic)에칭에 또는 다른 수단에 의해서 행하게 된다. 등방성 에칭의 속성으로 인하여, 왕수와 같은 습윤 에칭을 사용하면 공정의 정확성을 악화시킨다. 등방성 에칭에서 정밀한 등급은 미세한 패턴 공정에서 충분히 높지 않게 된다. 그러므로, 플라티늄 전극의 서브미크론 패턴은 등방성 성질로 인하여 수행하기 어렵다. 더욱이, 이온 혼합제를 갖는 문제는 전극을 형성하는 플라티늄상의 에칭 속도가 생산에 대해 너무 느리기 때문에 발생된다.
에칭 플라티늄에서 공정의 정밀성을 높이기 위해, 연구 및 개발은 상당히 능동적으로 이루어지며, 에칭제 가스들(예를들어, Cl2, HBr,O2등)이 사용되는 건조 에칭 공정에 의해서 에칭 플라티늄의 영역에서 특히 연구 및 개발이 이루어진다. 다음의 종래 기술은 에칭 가스의 플라즈마로 플라티늄을 에칭하는 것에 대한 기술의 발전이다.
마쯔모또등의 미국 특허 제 5,492,855호에는 반도체 장치의 제조 방법이 기술되어 있으며, 절연층, 하부 전극 Pt층, 유전막 및 상부 전극 Pt층들은 이미 완성된 회로 소자 및 와이어링(wiring)을 갖는 기판의 상부면에 제공되며, 이때 커패시터는 유전막 과 상부 전극 Pt층을 선택적으로 건조 에칭한후 하부 전극 Pt층을 선택적으로 건조 에칭하므로서 형성된다. 상기 제조 방법은 Pt 에칭에 대한 에칭가스로서 S성분을 포함하는 가스 또는 첨가 가스로서 S 성분을 포함하는 에칭 가스를 사용한다. S 및 Pt 혼합물을 구성하기 위해 이온 주입에 의해서 Pt 건조 에칭 공정전에 Pt층 속으로 S를 주입하며, 이때 건조 에칭이 이루어져 Pt 혼합물이 형성된다.
마쯔모또등의 미국 특허 제 5,492,855호에는 회로소자 및 와이어링등이 이미 형성된 기판상에 절연층, 제 1 금속층, 유전막 및 제 2 금속층을 형성하는 공정이 기술되어 있다. 상부 전극 및 커패시턴스 막들은 제 2 금속층 및 유전막을 건조 에칭하므로서 형성된다. 하부 전극은 제 1 금속층을 건조 에칭하므로서 형성된다. 제 2 금속층을 에칭하기 위한 에칭가스는 할로겐화 수소전체에서 산소가 약 10 ~ 35%를 차지하는 할로겐화수소(예를들어, HBr) 및 산소를 포함하는 혼합가스로 구성된다. 에칭가스는 클로로포옴과 같은 탄화수소를 함유한 가스로 구성된다. 마쯔모또등은 기판상에 절연층으로서 실리콘 산화층과, 제 1 및 제 2층들로서 플라티늄층 또는 팔라듐층을 실시하였다. 제 2 금속층 및 유전막의 건조 에칭은 약 5Pa보다 크지 않은 저압영역 및 에칭 속도가 높은 영역에서 이루어진다. 또한, 할로겐화 수소 및 산소의 혼합가스들이 에칭가스로 사용되었으며, 실리콘 산화층상의 에칭속도는 플라티늄층 또는 팔라듐층으로 형성되ㅇ 제 2 금속층상에서의 속도보다 충분히 낮게 된다. 이러한 방식으로, 제 1 금속층 아래의 실리콘 산화층의 과도한 에칭은 방지되며, 상기 실리콘 층 아래의 회로소자 및 와이어링등에 대한 파손도 방지된다. 더욱이, 리지스트(resist)에 대한 플라티늄 및 유전체 물질의 에칭 속도의 비율은 리지스트상의 에칭속도를 하강하므로서 증가된다. 그러므로, 플라티늄 및 유전체물질의 에칭은 종래 두께층-리지스트(약 3㎛의 두께) 대신에 정상의 레이-두께(lay-thickness) 리지스트(일반적으로 약 1.2㎛ 내지 2.0㎛의 두께)의 마스크를 사용하여 진행된다.
1990년 9월 1일자 발행된 J. APPl.Phys.68(5)의 2415 내지 2423페이지의 제목이 “마이크로웨이브 산소 플라즈마에서의 플라티늄 금속 에칭”에는, 플라즈마 및 화학적인 시스템의 모두에서 금속 에칭에 대한 것이 기술되어 있다. 플라티늄의 에칭은 유동식 마이크로웨이브 시스템에서 발생된 산소 플라즈마에 포일되며, 매우 빠른 에칭(~ 6Å/s)이 낮은 동력 입력(200 W)에서 균일하게 일어난다. 산소 원자 농도, 이온 농도 및 전자 온도를 포함하는 주요한 플라즈마 매개변수들은 마이크로웨이브 커플러 아래에서 떨어져 기능하는 것이 측정되었다. 이것들은 포일 에칭의 비율에 관계되며, 이것은 커플러로부터 증가하는 거리를 감소시킨다. 상기 변수들의 관련성을 기초로 하여, 단순화된 모델이 형성된다. 산소 플라즈마 제트에서 플라티늄의 에칭은 산소 원자 및 높은 에너지 전자들의 수반되는 작동의 결과이다.
Jpn. J. APPl.Phys., Vol.34(1995)의 767 내지 770페이지에 니시가와등에 의해 발표된 제목이 “ RF 마그네트론 및 전자 사이로트론 공명 플라즈마 에서 플라티늄 에칭 및 플라즈마 특성 ”에는, 플라티늄 에칭의 성질이 rf 마그네트론 및 전자 사이클로트론 공명(ECR)플라즈마를 사용하여 조사되며, 또한 플라즈마 매개변수(중성 농도, 플라즈마 밀도등)를 측정한다. Cl2플라즈마가 0.4 내지 50mTorr의 압력 영역을 갖게된다. rf 마그네트론 플라즈마에서, Pt의 에치 율은 20 내지 160℃의 기판 온도에서 일정하게 된다. 에치율 및 플라즈마 전자 밀도는 압력이 50mTorr로 부터 5mTorr로 감소할때 증가한다. 300W의 rf 동력에 대한 ECR플라즈마에서, Pt의 에치율은 가스 압력이 5mTorr 에서 0.4mTorr로 감소될때 거의 일정(~100nm/min)하게 되는 반면에, 플라즈마 전자 밀도는 가스 압력이 감소될때 점차적으로 증가한다. 상기 결과들은 기판상의 중성 Cl2플럭스 및 이온 플럭스의 입사 비율 및 에치 항복점 사이의 관계에 대한 것이다.
Jpn. J. APPl.Phys., Vol.34(1995)의 767 내지 770페이지에 요꼬야마등에 의해 발표된 제목이 “ 고-밀도 ECR 플라즈마에 의해서, PZT/Pt/TiN/Ti 구조의 고온 에칭 ”에는, 글라스상의 스핀(SOG)마스크를 갖는 PZT/Pt/TiN/Ti 구조에 대한 미크론 패턴형 기술은 고밀도 전자 사이클로트론 공명(ECR) 및 300℃ 이상의 높은 기판의 온도를 사용하므로서 입증된다. 30%-Cl2/Ar 가스는 안내 지르코나이트 티타나이트(PZT)막을 에칭하는데 이용된다. 적층이 남아있지 않으며, 이것은 90°보다 큰 에칭 프로화일의 결과이다. 40%-O2/Cl2가스가 Pt막을 에칭하는데 사용된다. 에칭은 Ti 층에서 완전히 정지된다. 30-nm-두께 적층이 측벽에 남아있다. 이것들은 하이드로클로릭 산에서 디핑(dipping)후에 제거된다. Pt막의 에칭되는 프로화일은 80°이상이다. Ti/TiN/Ti층은 순 Cl2가스로 에칭된다. SOG 마스크로부터 크기의 변천은 0.1㎛보다 작게 된다. 에너지 분산 x-레이 분광학(TEM-EDX)분석 및 이송 전자 현미경에 의해서 SOG 및 PZT사이의 중간확산을 탐지하지 못한다.
Jpn. J. APPl.Phys., Vol.35(1996)의 2501 내지 2504페이지에 유(YOO)등에 의해 발표된 제목이 “ Ar/Cl2/O2플라즈마에서 Pt의 에치중에 에칭 경사의 제어”에는, 자기적으로 향상된 반응성 이온 에쳐(MERIE)를 사용하여 20℃에서 0.25㎛ 설계규정의 Pt 패턴의 에칭이 기술되어 있다. MERIE(magnetically enhanced reactive ion etcher)를 갖는 주요한 문제는 패턴 측벽상에 에치 제품들의 반복적층이 이루어지며, 패턴 크기를 줄이기 어렵게 된다. 포토리스트 마스크 및 산화 마스크를 각각 사용하는 두가지의 경우에, 측벽상에 에치 제품들의 반복 적층은 에칭된 경사가 45°이하이더라도, Cl2내지 Ar를 첨가하므로서 감소된다. 반복 적층은 HCl 세정 공정에 의해서 제거된다.
1996년 11월에 발행된 세미컨덕터 인터내셔널(Semiconductor International)의 109 내지 116페이지에 코떼끼(Kotecki)가 저술한 제목이 “ D-RAM 캐패시터들의 높은-K 유전체 물질”에는, D-RAM의 저장 커패시터속으로 높은-유전물질을 합체시키는 장점이 기술되어 있다. 한편, 고유전층에 필요한 것은 기가비트(gigabit)세대에 적합한 단순 저층 커패시터 구조에 이용하는 것과 관련이 있다. 적층 커패시터 구조에서 높은-유전 물질의 이용을 고려할때, 다음과 같은 것이 어드레스되어야 한다. 즉, 전극 패턴, 높은-유전 물질/배리어 상호작용, 전극/높은 유전 물질 상호작용, 표면거칠기(예를들어, 히로킹(hilocking)등), 스텝 커버리지, 높은-유전물질의 균일성(예를들어, 두께, 구성, 그레인의 크기/방향등), 및 배리어(예를들어, O2및 Si 확산, 전도성, 접촉 저항 및 상호작용등)이 어드레스되어야 한다. 다양한 물질 및 물질의 조합은 전도성 물질 산화물(예를들어, IrO2및 RuO2)및 귀금속(예를들어, Pt,Ir,Pd)을 포함하는 히티타석 유전체(perovskite dielectrics)와 함께 사용하는 것에 대해 코떼끼에 의해서 연구되었다. 이들 물질의 작업기능, 건조에칭에 의해서 패턴되는 그들의 능력, 반도체 제조기에서 표면거칠기 및 적합성에 대한 표면의 안정성들은 코떼끼에 의해서 하기의 테이블 1에 기술된다.
테이블 1
히티타석 유전체와 사용하는데 적합한 다양한 전극 물질의 성질의 비교
물질의 선택 작업기능 건조 에치 표면 안정성 적층 방법
Pt 5.6 ~ 5.7 어려움 잠재적인 문제 스퍼터링
Ru 4.7 쉬움/위험 잠재적인 문제 스퍼터링
RuO2/Ru 쉬움/위험 양 호 반응성 스퍼터링
Ir 5.0 ~ 5.8 어려움 양 호 스퍼터링
IrO2/Ir 어려움 양 호 반응성 스퍼터링
pD 5.1 ~ 5.6 어려움 ? 스퍼터링
또한, 코떼끼는 제목이 “D-RAM 커패시터들에 대한 높은-K 유전물질”인 논문에서, D-RAM 칩에 사용하는 커패시터들의 제조에 대해 반드시 극복되어야 할 주요한 문제들중의 하나가 전극 패턴에 대한 문제이다. Pt, Ru, Pd, Ir과 같은 귀금속의 건조 에칭중에 발생되는 최소의 휘발성 종들이 있게 된다. 에칭의 매카니즘이 심지어 RIE공정중에도 물리적인 스퍼터링에 의해 주로 이루어지기 때문에, 차단부(fence)들이 통상 포토리지스트의 측면상에 형성된다. 차단에 대한 문제를 제거하기 위해, 경사 측벽 각도 이외에 “세척”금속 구조를 인도하는 에칭 공정중에 포토리지스트의 측면을 부식하고 차단층을 에칭할수 있다. 또한, 임계치의 피쳐 특성 크기에 대한 제어가 어려워진다. 피쳐(feature)의 치수가 0.18㎛ 또는 그 이하로 될때, 측벽 각의 한정된 경사각만이 허용오차를 갖게된다. 코떼끼는 다음의 테이블 2 에서, D-RAM커패시터에서 사용이 고려되는 높은 유전체 물질과, 허용가능한 영역 및 막을 형성하기 위해 사용되는 다양한 방법에 대하여 기술한다.
테이블 2
다양한 유전물질, 형성방법 및 유전상수에 대한 비교
물질 적층방법 εT(얇은 막)
SrTiO3MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 90 ~ 240
(Ba, Sr)TiO3MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 160 ~ 600
PLT MOCVD, 졸-겔, 스퍼터링, PLD 400 ~ 900
PZT 및 PLZT MOCVD, 졸-겔, 스퍼터링, PLD 〉1000
1996년 10월 펜실베니아 필라델피아에서 열린 AVS의 43번째 심포지움에서, 밀코브(Milkove)등이 발표한 제목이 “차단부가 없는 패턴형 플라티늄 구조의 반응성 이온 에칭속으로 새로운 투시”인 내용에는, 차단부 없는 패턴형 구조의 반응성 이온 에칭중에 Pt 에칭 공정의 시간 발전을 특징화하는 것에 대한 조사가 기술되어 있다. 밀코브등에 의하 경험치들은 동일한 2500Å두께의 Pt막층, 단 상이한 포토리지스트(PR)마스크 두께를 소유하는 두 산화된 Si 웨이퍼들로 구성된다. 에칭은 스캐닝 전자 현미경(SEM)에 의해 분석에 대한 웨이퍼의 작은 부분의 분쇄를 위해 전체 에칭 공정의 20, 40, 60 및 80%에서 현수된다. 2500Å두께의 막층들에 대한 차단부 없는 에칭을 생산하기 위해 공지된 Cl2-계 RIE 조건에 대하여 밀코브등이 발견하엿으며, 이것은 엄중한 차단부가 에칭공정의 처음 20%중에 PR마스크를 실질적으로 코팅한다. 에칭이 펜스 구조에 계속 전개될때, 공정의 마지막 이전에 완전히 사라질때 까지 최대 높이 및 너비를 얻기 위해 진보적인 후퇴가 이루어진다. 밀코브등의 테이타는 에칭된 Pt구조의 최종 프로화일이 PR 마스크의 최초 두께 및 경사이외에, Pt층의 최초 두께에 기능적으로 좌우된다는 것이다. 밀코브등은 또다른 “차단부가 없는 패턴형 플라티늄 구조의 반응성 이온 에칭속으로 새로운 투시”라는 제목의 보고서에서, 일시적인 차단부의 행동이 할로겐-계 플라즈마에서 Pt 막의 RIE와 관련된 화학 및 물리적인 스터퍼링의 구성요소의 존재를 지지한 ㄴ데이타에 대해 가장 강하게 움직인다는 사실을 기술하였다.
일렉트로케미컬 소사이어티 프로시팅스(Electrochemical Society Proceedings)의 Vol. 96-12(1996)의 515 내지 520페이지에 케일(Keil)들이 발표한 제목이 “PZT 계 강유전체 장치에 대한 플라티늄 전극들의 에칭”에는, 플라티늄 Pt에칭을 실시하는 카패시터들을 제조하는 기술의 어려움이 스퍼터링 공정에 의해서 종종 지배되다 것이 기재되어 있다. 산소 및/또는 다양한 가스의 클로라이드 또는 플루오르 들이 에칭공정을 항상시키기 위해 화학적으로 이용되는 동안에, 두 에칭 매카니즘들의 제품들은 웨이퍼의 반복 적층을 하고 낮은 휘발성을 통상 갖게 된다. 에칭후에, 대형 벽-형식의 구조들은 Pt영역의 에지로 부터 연장된다. 이러한 벽-형식 구조들은 통상 “ 베일(veils)”, “ 차단부(fences)”, “ 래비트 이어(rabbit ears)”으로 언급되며, 부착되는 Pt막의 두께보 2배 이상의 길링에 도달한다. 이러한 구조들의 존재는 PZT층의 적층을 불가능하게 한다. 작은 “ 너브(nub)”형 피쳐만이 존재하는 포인트에 반복적층을 약화게 할지라도, 너브와 같은 형태의 높은 전기장은 유전체 파괴에 대한 가능성을 높인다. 비록 공정 조건이 낮은 반복적층을 초래하거나 반복 적층을 초래하지 않을지라도, 받아드릴수 없는 경사형 플라티늄 프로화일 각도를 제공한다. 케일등은 공정조건이 수직의 측벽을 항해 점차적으로 증가할때, 반복증착이 보다 엄중하게 되는 것을 알게 된다. 용매조(solvent bath)에서 포스트 에치 습식 세척이 빈번히 사용될때, 수직 측벽들에 따른 무거운 반복적층은 최소의 효과로 적층하게 된다.
선행 기술에 대하여 기술하면, 에치 프로화일의 CD(임계 치수)제어 및 세척의 수직 방어 영역은 1-기가 D-램 강유전체 장치의 공정처리 플라티늄 전극의 성공적인 플라즈마 에칭에 대한 임계요소들로 구성된다. 반복 적층 및 프로화일 제어는 강하게 상호연결하게 된다. 프로화일 각도 및 반복 적층의 최적화는 둘 사이의 교환이 필요하다. 강력한 포스트 에치 세척(예를들어, 산으로 습식 세척, 기계적인 폴리싱등)이 적층의 자유 플라즈마 에칭을 얻는데 필한 필수품을 건감하게 하는 동안에, 포스트 에치 세척은 정확성을 갖고 있지 못하다. 정확성이란 플라티늄 전극 자체가 현재 공지된 포스트 에치 세척 방법에 의해서 통상적으로 지워지거나 악화될때, 원하는 현상을 말한다.
그러므로, 개발되어야 할 것은 플라티늄 프로화일 이방성의 높은 정도(i.e.,≥85°)로 플라티늄 전극들을 갖는 고 밀도의 집적회로 반도체 장치를 생산하도록 플라티늄 전극 층을 에칭하는 방법이다. 더욱, 개발하여야 할 것은 약 85° 이상의 플라티늄 프로화일을 구비하며, 0.3㎛보다 작은 임계치(예를들어, 너비)를 갖는 전극과 함께 0.3㎛ 이하의 거리로 분리되는 다수의 플라티늄 전극들을 포함하는 반도체 장치이다.
본 발명은 플라티늄의 플라즈마 에칭에 관한 것이다. 보다 상세히 기술하면, 본 발명은 플라티늄 전극들을 포함하는 반도체 집적회로들을 생산하기 위한 플라티늄의 플라즈마 에칭방법에 관한 것이다.
도 1은 반도체 기판과, 상기 반도체 기판상에 배치된 배리어층과, 상기 배리어층에 배치된 플라티늄 전극층과, 상기 플라티늄 전극층상에 배열된 마스크층과, 절연층상에 배열된 패턴형 리지스트층을 구비한 반도체 웨이퍼의 측면도.
도 2는 마스크층 및 플라티늄 전극층사이의 플라티늄 전극층상에 배열된 보호층을 추가로 포함하는 도 1의 반도체 웨이퍼의 측면도.
도 3은 플라즈마를 향상시키기 위해 전자기 유닛을 갖는 플라즈마 에칭 반응로를 포함하는 종래 기술의 플라즈마 공정처리 장치의 수직단면도.
도 4는 중심 축선 주위로 회전될때 자기장에 의해서 발생되는 플럭스의 다이 아그램 .
도 5는 플라티늄 전극층을 노출시키기 위해 플라티늄 전극층의 표면으로 부터 마스크 층의 일부를 에칭하고 제거한후, 도 1의 반도체 웨이퍼의 측면도이다.
도 6은 보호층을 노출시키기 위해 보호층의 표면으로 부터 마스크층의 일부를 에칭하고 제거한후 도 2의 반도체 웨이퍼의 측면도.
도 7은 점선으로 표시되는 패턴형 리지스트 층을 제거한 마스크층의 일부로 부터 패턴형 리지스트 층이 제거된후에, 도 5의 반도체 웨이퍼의 측면도.
도 8은 플라티늄 층의 표면으로부터 보호층를 에칭하고 제거한후, 그리고 점선으로 표시되는 패턴형 리지스트 층을 제거한 마스크층의 일부로 부터 패턴형 리지스트 층이 제거된후에, 도 6의 반도체 웨이퍼의 측면도.
도 9는 플라티늄 전극층이 에칭된 플라티늄 전극층을 생산하기 위해 에칭된후, 도 7의 반도체 웨이퍼의 측면도.
도 10은 플라티늄 전극층이 에칭된 플라티늄 전극층을 생산하기 위해 에칭된후, 도 8의 반도체 웨이퍼의 측면도.
도 11은 플라티늄 전극층이 상부에 잔류 마스크층이 형성되는 에칭된 플라티늄 전극층을 발생하도록 에칭된후, 도 7의 반도체 웨이퍼의 측면도.
도 12는 잔류 보호층의 상부상에 플라티늄 전극층이 형성되는 잔류 마스크층이 형성되는 에칭된 플라티늄 전극층을 발생하도록 에칭된후, 도 7의 반도체 웨이퍼의 측면도.
도 13은 잔류 마스크층이 에칭된 플라티늄 전극층의 표면으로 부터 제거되는, 도 11의 반도체 웨이퍼의 측면도.
도 14는 잔류 마스크층 및 잔류 보호층이 에칭된 플라티늄 전극층의 표면으로 부터 제거되는, 도 12의 반도체 웨이퍼의 측면도.
도 15는 배리어층이 에칭되며, 잔류 마스크층이 에칭된 플라티늄 전극층의 표면으로 부터 제거된 후의 도 11의 반도체 웨이퍼의 측면도.
도 16은 배리어층이 에칭되며, 잔류 마스크층 및 잔류 보호층이 에칭된 플라티늄 전극층의 표면으로 부터 제거된 후의 도 12의 반도체 웨이퍼의 측면도.
도 17은 반도체 장치를 생산하기 위해 플라티늄 전극층을 에칭하는데 실시되는 유도성 결합형 RF 플라즈마 반응로의 단순화된 절개도.
도 18은 반도체 장치를 생산하기 위해 플라티늄 전극층을 에칭하는데 실시되는 또다른 유도성 결합형 RF 플라즈마 반응로의 단순화된 절개도.
도 19는 보기Ⅰ에 기록된 공정조건에 따라 플라티늄 전극층이 에칭된후, 보기 Ⅰ에 대한 테스트 반도체 웨이퍼의 단면을 나타내는 피처의 도면.
도 20은 산화 마스크가 제거된후, 도 19의 테스트 반도체 웨이퍼의 피처에서 정면도를 나타내는 피처.
도 21은 동일한 부분에 대하여 동일한 도면부호를 표시한 도 19의 피쳐에서의 정면도.
도 22는 동일한 부분에 대하여 동일한 도면부호를 표시한 도 20의 피쳐에서의 정면도.
도 23은 보기Ⅱ에 기록된 공정조건에 따라 플라티늄 전극층이 에칭된후, 보기Ⅱ에 대한 테스트 반도체 웨이퍼의 단면을 나타내는 피처의 도면.
도 24는 동일한 부분에 대하여 동일한 도면부호를 표시한 도 23의 피쳐에서의 정면도.
본 발명은 하기와 같이 기판상에 적층되는 플라티늄층을 에칭하는 방법을 넓게 제공하므로서 바람직한 목적을 달성한다. 즉, 본 발명의 방법은, (a) 플라티늄층을 지지하는 기판을 제공하는 단계와; (b) 상기 (a)단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계와; (c)적어도 하나의 에칭형 플라즈마를 지지하는 기판을 생산하기 위해 할로겐 함유 가스(예를들어, Cl과 같은 할로겐) 및 귀금속 가스(예를들어, 아르곤)를 구비하는 에칭가스의 고밀도 플라즈마로 플라티늄층을 에칭하는 단계로 구성된다.
플라티늄층은 플라티늄 전극층에 바람직하다. 에칭제 가스의 고밀도 플라즈마가 약 109/㎤보다 높은, 바람직하게는 1011/㎤ 보다 높은 이온 밀도를 갖는 에칭제 가스의 프라즈마로 구성된다. 에칭제 가스는 BCl3, HBr 및 혼합물로 구성되는 그룹으로 부터 선택된 가스를 포함한다. 상기 단계(a)의 플라티늄 층은 상기 에칭 단계 (c)중에 플라티늄층을 선택적으로 보호하기 위해 플라티늄 층의 선택된 부분에 배치된 마스크층을 구비한다. 유사하게도, 보호 층은 에칭 단계(c)동안에 또는 이후에 제거된다. 플라티늄 층은 플라티늄 웨이퍼의 일부 또는 웨이퍼 내에 포함되며, 플라티늄을 에칭하는 방법은 코일 인덕터 및 웨이퍼 축받침을 갖는 높은 밀도의 플라즈마에서 단계(a)의 플라티늄 층을 포함하는 플라티늄 웨이퍼를 배치하는 단계와; 다음의 공정조건하에서 높은 밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성한다.
공 정 변수 수 치
에칭제 가스의 유동 50 내지 500 sccm
할로겐 가스(예를들어, Cl2) 체적이 20% 내지 95%
귀금속 가스(예를들어, 아르곤) 체적이 5% 내지 80%
압력(m Torr) 0.1 내지 300 milli Torr
코일 인덕터의 RF 동력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts
플라티늄 웨이퍼의 온도(℃) 150 내지 500℃
플라티늄 에치율(Å/min) 200 내지 6000Å/min
코일 인덕터의 RF 주파수 100 K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
상기 에칭된 플라즈마 층은 약 85°도 이상, 보다 바람직하게는 약 87°도 이상, 가장 바람직하게는 88.5°도 이상의 플라티늄 프로화일을 포함한다. 상기 공정조건에 대한 에칭제 가스는 할로겐(예를들어, Cl2)체적이 약10 내지 90%, 귀금속의 체적이 5 내지 80%, HBr 및 BCl3의 체적이 약 4% 내지 약 25%으로 구성된다.
본 발명은 하기와 같이 플라티늄 전극을 포함하는 커패시턴스 구조를 생산하는 방법을 넓게 제공하므로서 바람직한 목적을 달성한다. 본 발명은, (a) 플라티늄 전극층의 선택된 부분상에 배치되는 적어도 하나의 마스크층 및 플라티늄 전극층을 지지하는 기판을 제공하는 단계와, (b) 상기 (a)단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계와; (c) 적어도 하나의 플라티늄 전극을 지지하는 커패시턴스 구조를 생산하기 위해 할로겐(예를들어, Cl) 및 귀금속 가스(예를들어, 아르곤)를 구비하는 에칭가스의 플라즈마로 플라티늄 전극층을 에칭하는 단계로 구성된다.
적어도 하나의 마스크 층은 상술된 에칭단계(c)동안 또는 후에 제거된다. 상술된 단계(a)의 플라티늄 전극층은 마스크 층 및 플라티늄 전극층사이의 플라티늄 전극 층의 선택된 부분상에 보호층을 추가로 구성한다. 에칭단계(c)에 의해서 발생되는 에칭된 플라티늄 전극층은 약 85°도 이상, 보다 바람직하게는 약 87°도 이상, 가장 바람직하게는 88.5°도 이상의 플라티늄 프로화일을 포함한다. 상기 단계 (c)의 플라즈마의 에칭제 가스는 HBr, BCl3, 및 혼합물로 구성되는 그룹으로 부터 선택된 가스, 할로겐(예를들어, Cl) 및 귀금속 가스(예를들어, 아르곤)들을 포함한다. 플라티늄 전극층은 플라티늄 전극 웨이퍼의 일부 또는 웨이퍼 내에 포함되며, 플라티늄 전극층을 포함하는 커패시턴스 구조를 생산하는 방법은 단계(c) 이전에 코일 인덕터 및 웨이퍼 받침대를 갖는 높은 밀도의 플라즈마 챔버에서 플라티늄 전극 웨이퍼를 배치하는 단계와; 다음의 공정조건하에서 높은 밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성한다.
공 정 변수 수 치
에칭제 가스의 유동 50 내지 500 sccm
할로겐 가스(예를들어, Cl2) 체적이 약 10% 내지 90%
귀금속 가스(예를들어, 아르곤) 체적이 약 5% 내지 80%
압력(m Torr) 0.1 내지 300 milli Torr
코일 인덕터의 RF 동력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts
플라티늄 전극 웨이퍼의 온도(℃) 약 150 내지 500℃
플라티늄 에치율(Å/min) 200 내지 6000Å/min
코일 인덕터의 RF 주파수 100 K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
생산된 플라티늄 전극들은 약 0.3㎛이하의 치수를 갖는 공간 또는 거리에 의해 분리된다. 플라티늄 전극들 각각은 0.6㎛ 보다 이하, 바람직하게는 0.3㎛이하의 치수로 구성된다. 가장 바람직하게는, 플라티늄 전극들 각각은 약 0.3㎛ 이하의 너비와, 약 0.6㎛이하의 길이, 약 0.6㎛이하의 높이로 구성된다. 에칭제 가스의 플라즈마는 고밀도의 유도 결합형 플라즈마로 구성된다. 상기 에칭제 가스는 혜륨, 네온, 아르곤, 크립톤, 크세논, 라돈 및 이들의 혼합물로 구성되는 그룹으로 부터 선택되는 귀금속 가스로 구성된다. 보다 바람직하게는, 귀금속 가스는 혜륨, 네온, 아르곤, 및 이들의 혼합물로 구성되는 그룹으로 부터 선택된다. 가장 바람직하게는 귀금속은 아르곤으로 선택되는 것이다. 이전에 지적된바와 같이, 고밀도의 유도성 결합 플라즈마의 에칭제 가스는 Cl, BCl3및/또는 HBr로 구성된다.
또한, 본 발명은 하기와 같이 플라티늄 전극을 포함하는 커패시턴스 구조를 생산하는 방법을 넓게 제공하므로서 바람직한 목적을 달성한다. 상기 본발명의 발법은,
(a) 표면에 회로소자가 형성되어 있는 기판상에 패턴형 리지스트 층, 마스크층 및 플라티늄 전극 층을 형성하는 단계와;
(b) 패턴형 리지스트 층, 잔류 마스크층 및 플라티늄 전극 층을 지지하는 기판을 생산하기 위해, 플라티늄 전극 층으로 부터 마스크층의 일부를 제거하고, 극복하도록 에칭제 가스의 플라즈마로 마스크 층의 일부를 에칭하는 단계와;
(c) 상기 잔류 마스크 층 및 플라티늄 전극 층을 지지하는 기판을 생산하기 위해 단계(b)의 리지스트 층을 제거하는 단계와;
(d) 상기 단계(c)의 기판을 약 150℃ 이상으로 가열하는 단계와,
(e) 적어도 하나의 플라티늄 전극을 갖는 반도체 장치를 생산하도록 할로겐 가스(예를들어, Cl), 귀금속(예를들어, 아르곤)을 구비하는 에칭제 가스의 고 밀도 플라즈마로 단계(d)의 플라티늄 전극층을 에칭하는 단계로 구성된다.
또한, 본 발명은 하기와 같이 기판상에 배치된 플라티늄 전극층을 에칭하는넓게 제공하므로서 바람직한 목적을 달성한다. 상기 본 발명의 방법은,
(a) 플라티늄 전극층, 플라티늄 전극층상의 보호층, 보호층상의 마스크층 및, 마스크층상의 패턴형 리지스트층을 지지하는 기판을 제공하는 단계와;
(b) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층, 플라티늄 전극층상의 잔류 마스크층 및, 마스크층상의 패턴형 리지스트층을 지지하는 기판을 생산하기 위해 그리고 보호층의 일부를 노출하기 위해 보호층으로 부터 마스크층의 일부를 제거하고, 극복하도록 에칭제 가스의 플라즈마로 마스크 층의 일부를 에칭하는 단계와;
(c) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층 및, 보호층상의 마스크층을 지지하는 기판을 생산하기 위해 단계(b)의 잔류 마스크층으로 부터 패턴형 리지스트층을 제거하는 단계와;
(d) 상기 단계(c)의 기판을 약 150℃ 이상으로 가열하는 단계와,
(e) 상기 플라티늄 전극층, 플라티늄 전극층상의 잔류 보호층 및 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 생산하기 위해, 그리고 플라티늄 전극층의 일부를 노출시키기 위해 보호층의 노출된 부분을 에칭하는 단계와;
(f) 에칭된 플라티늄 전극층상의 잔류 보호층을 구비한 에칭형 플라티늄 전극층을 지지하는 기판을 생산하기 위해 할로겐 가스(예를들어, Cl), 귀금속(예를들어, 아르곤)을 구비하는 에칭제 가스의 고 밀도 플라즈마로 단계(e)의 플라티늄 전극층의 노출된 부분을 에칭하는 단계로 구성된다.
패턴형 리지스트 층은 매우 높은 온도가 리지스트 층을 파괴하기 때문에, 약 150℃보다 높은 온도로 기판을 가열하기 전에 잔류 마스크 층으로부터 제거된다. 상기 잔류 마스크 층은 약 150℃보다 높은 온도로 기판을 가열하기 전 또는 후에 플라티늄 전극층으로 부터 제거되고, 플라티늄 에칭 단계중에 또는 후에 플라티늄 전극층으로 부터 제거된다. 플라티늄 전극 층은 플라티늄 전극 웨이퍼의 일부를 구성하거나, 웨이퍼내에 포함된다. 상기 보호층의 목적은 마스크 층 및 플라티늄 사이의 부착을 확보하고, 특히 본 발명의 플라티늄 에칭 공정중에 플라티늄 전극층의 플라티늄 프로화일을 유지한다. 바람직하게도, 잔류 보호층들은 플라티늄 에칭 단계후에 에칭된 플라즈마 전극들로 부터 제거된다.
이전에 기술한바와 같이, 본 발명의 플라티늄 전극들을 생산하기 위해 플라티늄 전극층의 에칭은 고 밀도 플라즈마 챔버에서 수행된다. 플라티늄 에칭 단계는 할로겐 가스(예를들어, Cl), 귀금속 가스(예를들어, 아르곤) 및 HBr 및/또는 BCl3로 구성되는 에칭제 가스의 고밀도 플라즈마를 수행한다. 고 밀도 플라즈마 챔버는 이온 에너지에 대해 분리 제어 및 이온 유동에 대해 분리 제어를 하게 된다. 상술된바와 같이, 고밀도 플라즈마 챔버에서 고 밀도 플라즈마의 이온 밀도는 약 109/㎤보다 크다.
기판상에 배치된 플라티늄 전극 층을 에칭하는 방법 및 반도체 장치를 제조하는 방법을 위한 고 밀도 플라즈마 챔버는 코일 인덕터 및 웨이퍼 받침대를 포함하며, 이러한 방법 모두에 플라티늄 에칭 단계는 하기에 기술되는 조건하에서 고밀도 플라즈마 챔버에서 수행된다.
공 정 변수 수 치
에칭제 가스의 유동 50 내지 500 sccm
할로겐 가스(예를들어, Cl2) 체적이 약 10% 내지 90%
귀금속 가스(예를들어, 아르곤) 체적이 약 5% 내지 80%
HBr 및 BCl3 체적이 약 4% 내지 25%
압력(m Torr) 0.1 내지 300 milli Torr
코일 인덕터의 RF 동력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts
플라티늄 전극 웨이퍼의 온도(℃) 약 150 내지 500℃
플라티늄 에치율(Å/min) 200 내지 6000Å/min
코일 인덕터의 RF 주파수 100 K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
여전히, 본 발명은 반도체 장치, 보다 상세히 기술하면 기판 및, 기판에 의해 지지되는 적어도 두개의 플라티늄 전극들을 구성하는 반도체 장치를 넓게 제공하므로서 바람직한 목적을 달성한다. 플라티늄 전극층은 약 85°도 이상, 보다 바람직하게는 약 87°도 이상, 가장 바람직하게는 88.5°도 이상의 플라티늄 프로화일을 포함한다. 플라티늄 전극들 각각은 0.3㎛ 보다 이하의 치수를 갖는 공간 또는 거리에 의해 분리된다. 플라티늄 전극들 각각은 약 0.6㎛ 이하, 바람직하게는 0.3㎛이하의 치수로 구성된다. 가장 바람직하게는, 플라티늄 전극들 각각은 약 0.3㎛ 이하의 너비와, 약 0.6㎛이하의 길이, 약 0.6㎛이하의 높이로 구성된다.
그러므로, 본 발명의 목적은 기판상에 배열되는 플라티늄층을 에칭하는 방법을 제공한다.
본 발명의 또다른 목적은 반도체 장치를 제조하는 방법을 제공한다.
본 발명의 또다른 목적은 커패시턴스 구조를 제조하는 방법을 제공한다.
본 발명의 또다른 목적은 커패시턴스 구조를 제공한다.
본 발명의 또다른 목적은 반도체 장치를 제공한다.
하기에 기술되는 바람직한 실시예를 통해 본 발명의 다양한 목적 및 장점을 이해할수 있으며, 하기에 기술되는 바람직한 실시예는 첨부된 도면을 참조하여 기술된다.
본 발명에서 동일한 부재들은 동일한 참조부호로 나타낸다. 도 1에서, 웨이퍼(10)는 반도체 기판(12)을 갖는다. 반도체 기판(12)은 도면에 도시되어 있지 않은 회로 부재의 영역을 포함하고 있으나, 이는 당업자들에게 공지되어 있다. 배리어 층(14)은 반도체 기판(12) 상에 위치되며, 백금 층(15)은 배리어 층(14) 위에 배치된다. 백금 층(15)은 바람직하게 도 1에 도시되어진 백금 전극 층(16)이다. 백금 전극 층(16)이 바람직한 백금 층(15)이므로, 본 발명의 나머지 설명에서는 "백금 전극 층(16)"만을 사용할 것이다. 그러나, 이후 백금 전극 층(16)이 기술된 경우에 본 발명의 목적에 따라 "백금층(15)"과 동일한 의미를 가짐을 이해할 수 있을 것이다.
백금 전극 층(16)이 반도체 기판(12) 내에 임의의 부재(예를 들어, 폴리-Si 플러그)와 반응하거나 쉽게 확산됨으로 인해, 백금 전극 층(16)과 반도체 기판(12) 사이에 배리어 층(14)이 요구된다. 배리어 층(14)은 백금 전극 층(16)에 반도체 기판(12)을 결합시키기 위한 부착제로서 작동한다. 마스크(18)는 백금 전극 층(16) 위로 배치되며, 패턴화된 레지스트(20:포토레지스트)는 도 1에 가장 잘 도시되어진 바와 같이 마스크 층(18) 위에 선택적으로 위치된다. 도 1에 가장 잘 도시되어진 것과 같이, 패턴화된 레지스트(20)는 다수의 레지스트 부재(20a,20b,20c,20d)를 포함한다. 도 2에 도시되어진 바와 같이 또 다른 바람직한 실시예에서, 보호 층(22)은 백금 전극 층(16)과 마스크 층(18) 사이에 배치된다.
배리어 층(14)은 백금 전극 층(16)에 부착제 및 확산 배리어로서 이중으로 작용하는 임의의 적합한 배리어 층이다. 배리어 층(14)은 소정의 적합한 두께를 가질 수 있다. 바람직하게, 배리어 층(14)은 TiN 등의 티타늄 및/또는 티타늄 합금을 포함하며, 대략 50Å으로부터 600Å, 보다 바람직하게는 200Å 내지 400Å, 가장 바람직하게는 300Å의 두께로 처리된다. 배리어 층(14)은 바람직하게 RF 마그네트론 스퍼터링 방법에 의해 반도체 기판(12) 상에 배치된다.
백금 전극 층(16)은 고 절연 상수를 갖는 강유전성 재료을 증착하는 계속되는 고온 공정에서 발생되는 경향이 있는 산화에 불활성을 띠기 때문에 전극 재료로서 이용된다. 백금 전극 층(16)은 백금이 양호한 전기 도전체임으로 인해 전극 재료로서 이용된다. 백금 전극 층(16)의 두께는 백금 전극 층(16)을 함유하는 반도체 또는 정전용량 장치의 일반적으로, 백금 전극 층(16)의 두께는 500Å 내지 4000Å, 보다 바람직하게는 1000Å 내지 3000Å, 가장 바람직하게는 2000Å의 두께로 변동한다. 백금 전극은 바람직하게 RF 마그네트론 스퍼터링 방법에 의해 배리어 층(14) 위에 배치된다.
마스크 층(18)은 이후 기술되어질 절차에 따라 에칭될 수 있는 임의의 적절한 절연 또는 금속 재료일 것이다. 마스크 층(18)의 모든 트레이스가 패턴화된 레지스트(20) 하에서 잔류하는 마스크 층(18)의 부분(18a,18b,18c,18d)을 제외한 표면 백금 전극 층(16)으로부터 제거된다. 마스크 층(18)은 또한 소정의 적절한 두께를 가질 수 있다. 바람직하게, 마스크 층(18)은 실리콘이산화물(SiO2) 및/또는 실리콘 질화물(Si3N4) 또는 임의의 적절한 절연재를 포함한다. 마스크 층(18)의 바람직한 두께는 대략 1000Å 내지 9000Å, 보다 바람직하게는 3000Å 내지 7000Å, 가장 바람직하게는 5000Å의 두께로 변동한다. 마스크 층(18)은 화학 증기 증착에 의해 백금 전극 층(16) 위에 배치된다.
패턴화된 레지스트(20)(즉, 레지스트 부재(20a,20b,20c,20d)를 포함한 포토레지스트(20))는 임의의 하부 재료(예를 들어, 마스크 층(18)이 본 발명의 에칭 공정 중에 에칭되지 않는 임의의 적합한 재료 층이다. 패턴화된 레지스트(20)에 적합한 재료는 수지 및 광활성 용해 억제제 및 노보락 수지(novolac resin)로 구성되는 레지스트 시스템을 포함한다(Suss's 발견에 의함). 레지스트(20)에 적합한 도 다른 재료는 히로시 아이토(Hiroshi Ito)에 의한 "Deep-UV resists: Evolution and Status"로 제목붙혀진 1996년 7월 출판된 고상 기술에 나타나 있다. 패턴화된 레지스트(20)는 임의의 적합한 두께, 바람직하게 대략 0.3㎛ 내지 대략 1.40㎛의 범위, 보다 바람직하게는 대략 0.5㎛ 내지 1.2㎛의 범위, 가장 바람직하게는 대략 0.8㎛의 두께를 갖는다. 패턴화된 레지스트(20)는 바람직하게 스핀 코팅 방법에 의해 마스크 층(18) 위에 배치된다.
도 2에 도시된 본 발명의 실시예에서 보호 층(22)은 본 발명의 오버에칭 공정중에 에칭된 백금 전극 층(이하, 16e)의 코너(이하,16g)을 보호하기 위한 층이다. 보호 층(27)의 또 다른 목적은 마스크 층(18) 및 백금 전극 층(16)에 우수한 부착을 제공하기 위함이다. 보호층(22)은 티타늄 및/또는 티타늄 질화물 등의 임의의 적합한 재료 또는 화학물질로 구성될 수 있으며, RF 마그네트론 스퍼터링 방법에 의해 백금 전극 층(16)의 표면 상에 알맞게 배치될 수 있다. 보호 층(22)의 두께는 바람직하게 대략 50Å 내지 1000Å, 보다 바람직하게는 대략 100Å 내지 대략 600Å, 가장 바람직하게는 대략 300Å의 적합한 두께를 갖는다.
도 1 또는 도 2의 다층 구조로부터 반도체 또는 정전용량 소자를 형성하거나 생성하기 위해서는, 다층 구조는 초기에는 백금 전극 층(16)의 표면으로부터 파쇄되거나 제거되어나 도는 에칭되도록 적합한 플라즈마 처리 장치 내에 위치되며, 마스크층(18a,18b,18c,18d)을 제외한 마스크층(18)은 레지스트 부재 (20a,20b,20c,20d) 아래에 각각 배치되며, 도 2에 도시된 본 발명의 실시예가 이용되는 경우,도 5 또는 도 6에 가장 잘 도시되어져 있다.
적합한 종래 기술 플라즈마 처리 장치가 도 3에 도시되어 있으며, 바비 등에 의해 미국 특허 제 5,188,704호에 개시되어져 있으며, 본 명세서에 참고로 기술되어져 있다. 도 3 의 플라즈마 처리 장치는 중성(n) 입자, 양성(+) 입자, 및 음성(-)입자 의 플라즈마(33)가 형성된 반응기 챔버(32)를 형성하고 내장하기 위한 벽(31)을 갖춘 플라즈마 반응기(30)을 포함한다. 벽(31)은 원통형 벽(54) 및 덮개(56)를 포함한다. 플라즈마 처리 가스는 입구(34)를 거쳐 반응기 챔버(32)로 도입된다. 플라즈마 에칭 가스는 입구(34-34)를 통해 챔버(32) 내부로 도입된다. 수냉식 음극(36)은 13,56MHz에서 RF 전력 공급원(38)에 연결된다. 양극(39)은 라인(40)에 의해 접지된 벽(31)에 연결된다. 헬륨 가스는 통로(50) 및 음극(36)을 통해 립 시일(52)에 의해 주변부에 지지된 웨이퍼(10) 아래의 공간에 공급되어 헬륨 가스가 웨이퍼(10)를 냉각시킨다. 웨이퍼(10)는 당업자들에게 공지되어진 웨이퍼 주변부에서 웨이퍼(10)의 상부면 아래에 고정된 다수의 클램프(도시되지 않음)을 포함하는 웨이퍼 지지부(46)에 의해 지지된다. 한쌍의 헬름홀즈 배치식 전자석 코일(42,43)은 챔버(32) 내에 북극 및 남극 을 제공하며 측면 원통형 벽(54) 및 벽(31)의 양 단부에서 배치된다. 전자석 코일(42,43)은 웨이퍼(10)의 표면에 평행한 수평 자기장 축을 제공하는 좌측 및 우측에 부극 및 남극을 갖는 횡단 자기장을 제공한다. 횡단 자기장은 자기장이 웨이퍼(10)를 향해 이동함에 따라 자기장에 의해 방사상으로 가속화된 전자의 수직 속도를 늦추기 위해 인가된다. 따라서, 플라즈마(33) 내의 전자의 양은 횡단 자기장에 의해 증가되며 플라즈마(33)는 공지된 바와 같이 강화된다.
자기장을 제공하는 전자석 코일(42,43)은 균일한 자기장 강도 벙위를 제공하기 위해 독립적으로 제어된다. 자기장은 전자석 코일(42,43)의 전류를 회전시킴으로써 웨이퍼(10)를 각으로 둘러싸고 단을 이룰 수 있다. 전자석 코일(42,43)에 의해 제공된 횡단 자기장은 플라즈마(33)에 의해 처리된 웨이퍼(10)의 펴먀ㄴ에 평행하도록 향하여 있으묘, 플라즈마 반응기(30)의 음극(36)은 플라즈마(33) 내의 전자의 이온화 효율을 증가시킨다. 이는 음극(36)의 외장을 가로지은 위치 강하를 감소시키고 웨이퍼(10)의 표면 상에 존재하는 이온 전류 플럭스을 증가시키기 위한 출력을 제공하며, 그 결과 높은 이온 에너지를 필요로 하지 않고 고속의 에칭을 가능하게 한다.
본 발명을 수행하는데 이용되는 자기 강화 반응성 이온 에칭기(MERIE)를 달성하기 위해 이용된 바람직한 자기 소오스는 헬름홀즈 배치 내에 배열된 전자석 코일(42,43)에 의해 제공된 가변 회전 전기장이다. 전자석 코일(42,43)은 3-상 AC 전류에 의해 구동된다. 플럭스(B)로 자기장은 웨이퍼(10)에 평행하며, 플럭스(B)를 발생시키는 자기장(H)의 벡터는 일반적인 회전 주파수 0.01 Hz 내지 1 Hz, 특히 0.5 Hz에서 전자기 코일(42,43)을 통해 유동하는 전류의 상을 변화시킴으로써 전기장의 중심축 둘레에서 회전된다. 자기 플럭스(B)의 강도는 일반적으로 0 가우스 내지 대략 150 가우스 범위를 가지며, 전자석 코일(42,43)에 제공된 전류의 양으로 측정된다. 도 3이 (마스크 층(18a,18b,18c,18d)을 제외한 마스크 층(18)을 제거하기 위해 적합한 하나의 플라즈마 처리 장치를 도시하고 있으나, 전자 사이클로트론 공명(ECR), 헬리콘 공명 또는 유도 결합된 플라즈마(ICP), 3극진공관 에칭기 등으로 이용될 수 있다.
플라즈마(33)는 레지스트 부재(20ak,20b,20c,20d) 아래에 각각 배치된 마스크층(18a,18b,18c,18d)을 제외한 마스크층(18)을 돌파하기 위해(즉, 세정 및 에칭) 임의의 적합한 식각제 가스를 이용할 수 있으며, 도 5 및 도 6에 가장 잘 도시되어져 있다. 예를 들어, 마스크 층(18)이 실리콘 산화물이면, 임의의 적합한 식각제 가스는 불소 함유 가스(CHF3, SF6, C2F6, NF3등), 붕소 함유 가스(HBr 등), 염소 함유 가스(CHCl3등), 희가스(아르곤 등), 및 그 혼합물로 구성되는 군으로부터 선택될 수 있다. 바람직하게, 식각제는 산소 등의 산화제를 포함하지 않는다. 이는 상기 단계의 목적이 (레지스트 부재(20a,20b,20c,20d)에 의해 각각 보호된 각각 배치된 마스크층(18a,18b,18c,18d)을 제외한) 마스크층(18)을 제거하며, 패턴화된 레지스트(20)응 제거하지 않는 것이기 때문이다. 보다 바람직하게, 식각제 가스는 체적당 대략 20% 내지 40%의 CHF3, 체적당 60% 내지 80%의 아르곤을 포함한다. 마스크 층(18)을 제거하는데 (도 3의 플라즈마 처리 장치 등의)적합한 플라즈마 처리 장치에 대한 바람직한 반응기 조건은 다음과 같다.
압력 10-150 Torr
RF 전력 500-1500 와트
회전 자기장 25-70 가우스
웨이퍼의 온도 25-100℃
마스크층(18)의 에칭 속도 2000-10,000 Å/분
마스크 층(18) 대 패턴화된 레지스트(20)의 선택도는 마스크 층(18) 및 패턴화된 레지스트(20)에 이용된 재료에 따라 3:1 이상이다.
보다 일반적으로, (도 3에 도시된 플라즈마 처리 장치 등의)적합한 플라즈마 공정 장치 내의 마스크 층(18)을 제거하기 위한 공정 변수는 CHF3의 유량에 근거한 다음의 표 Ⅲ에 나타나 있는 범위 내에 놓여져 있으며, Ar 또한 표 Ⅲ에 나타나 있다.
표 Ⅲ
공정 범위 바람직한 범위
가스 유동, sccmCHF310 내지 50(체적당 20 내지 40%) 20 내지 40Ar 50 내지 90(체적당 60 내지 80%) 60 내지 80압력, mT 10 내지 250 10 내지 15013.56 MHzRF 전력(와트) 500 내지 2500 500 내지 1500웨이퍼의 온도(℃) 10 내지 120 25 내지 100자기장, 가우스 10 내지 120 25 내지 70
보호층(22)이 마스크 층(18)과 백금 전극 층(16) 사이의 백금전극 층(16) 위에 배치되는 도 2에 도시된 본 발명의 실시예에 대해, 보호층(22)은 백금 전극 층(16)을 노출시키기 위해 마스크 층(18)을 제거한 후에 제거되거나 에칭된다. 보호 층(22)은 마스크 층(18a,18b,18c,18d) 아래에 보호 층(22a, 22b,22c,22d)을 제외한 마스크 층(18)은 보호층(22)을 돌파하거나 에칭하기 위해 적합한 식각제 가스를 이용한 플라즈마(33)를 포함한 임의의 적합한 방식 및/또는 (도 3의 플라즈마 처리 장치 등)임의의 적합한 플라즈마 처리 장치에 의해 에칭되거나 제거될 수 있다. 예를 들어, TiN이 보호층(22)으로 이용된다면, 적합한 식각제 가스는 Cl2, HBr, BCl3, 희가스(예를 들어, 아르곤), 및 그 혼합물로부터 선택될 수 있다. 바람직하게, 본 발명의 일실시예에서, 보호층(22a,22b,22c,22d)을 제외한 보호층(22)을 돌파하고 에칭하기 위한 식각제 가스는 대략 20% 내지 60% 의 체적당 Cl2, 대략 20% 내지 60%의 체적당 HBr 및/또는 BCl3, 및 대략 10% 내지 30%의 희가스 바람직하게, 아르곤을 포함한다. 보호층(22)(보호층(22a,22b,22c,22d)은 제외)을 제거하기 위해 (도 3 플라즈마 처리 장치등의) 적합한 플라즈마 처리 장치에 대한 적합한 반응기 조건은 (마스크 층(18a,18b,18c,18d을 제외한) 마스크 층(18)의 제거에 요구되는 전술한 반응기 조건과 동일하다. 다른 플라즈마 에칭기가 ECR, ICP, 헬리콘 공면 등의 보호층(20)을 제거하기 위해 이용될 수 있음을 인지할 수 있다. 이후 보다 상세히 설명되어질, 보호 층(22a,22b,22c,22d)은 본 발명의 에칭 공정 중에 에칭된 백금 전극 층(이하, 16e)의 코너(이하, 16g)를 보호하기 위함이다. 보호 층(22a,22b,22c,22d)은 에칭 공정 중에 에칭된 백금 전극 층의 코너를 보호할 뿐만 아니라 기존의 백금 프로파일을 유지하며 백금 프로파일을 개선시킨다.
본 발명의 또 다른 실시예에서, (보호 층(22a,22b,22c,22d)을 제외한) 보호층(22)은 본 발명의 백금 에칭 공정에서 이용된 식각제 가스 및 고온에 의해 에칭되고 제거될 수 있다. 보다 상세히 하기에 설명되어질 것처럼, 백금 전극 층(16)이 바람직하게 고밀도 유동 결합된 플라즈마를 함유한 고밀도 플라즈마 챔버 내의 하기의 공정 조건 하에서 에칭됨으로 인해, 보호 층(22)은 동일한 조건 하에서 에칭되고 제거되어질 것이다.
공정 변수
식각제 가스 유동 50 내지 500 sccm할로겐 가스(Cl2) 체적당 20 내지 95%희가스(아르곤) 체적당 5 내지 80%압력, mTorr 0.1 내지 300 mTorr코일 유도체의RF 전력(와트) 100 내지 5000 와트웨이퍼 페디스탈의RF 전력(와트) 50 내지 3000 와트백금 전극 웨이퍼의온도(℃) 대략 150 내지 500℃백금 에칭 속도(Å/min) 200 내지 6000Å/min코일 유도체의RF 주파수 100 K 내지 300 MHz웨이퍼 페디스탈의RF 주파수 100 K 내지 300 MHz
따라서, 동일한 장치 및 공정 조건은 보호층(22)의 선택 부분을 에칭하고 제거시키고, 또한 백금 전극 층(16)을 에칭시키기 위해 이용될 수 있다. 하기에 보다 상세히 설명되어질 본 발명의 또 다른 실시예에서, 보호층(22) 및 백금 전극 층(16)은 하기의 공정 조건 하에서 고 밀도로 유동결합된 플라즈마를 함유한 고밀도 플라즈마 챔버 내에서 각각 제거되고 에칭될 수 있다.
공정 변수
식각제 가스 유동 50 내지 500 sccm할로겐 가스(Cl2) 체적당 10 내지 90%희가스(아르곤) 체적당 5 내지 80%HBr 및/또는 BCl3체적당 45% 내지 25%압력, mTorr 0.1 내지 300 mTorr코일 유도체의RF 전력(와트) 100 내지 5000 와트웨이퍼 페디스탈의RF 전력(와트) 50 내지 3000 와트백금 전극 웨이퍼의온도(℃) 대략 150 내지 500℃백금 에칭 속도(Å/min) 200 내지 6000Å/min코일 유도체의RF 주파수 100 K 내지 300 MHz웨이퍼 페디스탈의RF 주파수 100 K 내지 300 MHz
마스크 층(18)의 선택 부분이 백금 전극층을 노출시키기 위해 백금 전극층(16)의 표면으로부터 떨어져 에칭된 후에, 마스크층(18)의 잔류부분 만이 레지스트 부재(20a,20b,20c,20d) 바로 아래에 놓여진 마스크 층(20a,20b,20c,20d)이도록 레지스트 부재(20a,20b,20c,20d)는 임의의 적합한 시간 바람직하게, 백금 전극 층(16)의 에칭 이전 및 반도체 기판(12)이 대략 150℃ 이상의 온도로 가열되지 이전에 제거된다. 마스크 층(22)의 선택 부분이 백금 전극층을 노출시키기 위해 백금 전극층(16)의 표면으로부터 떨어져 에칭된 후에, 보호층(22)의 잔류부분 만이 마스크 층(18a,18b,18c,18d) 바로 아래에 놓여진 보호 층(22a,22b,22c,22d)이며, 레지스트 부재(20a,20b,20c,20d)이 제거되도록 도 2, 도 6, 및 도 8에 도시된 본 발명의 실시예에 대해서도 동일하게 적용된다. 그러나, 본 발명의 실시예에 대해, 레지스트 부재(20a,20b,20c,20d)는 보호층(22)의 선택 부분이 제거된 이후(또는 동시에) 그리고 백금 도금 층(16)을 에칭하기 위한 목적으로 대략 150℃ 이상의 온도로 반도체 기판(12)을 가열하기 이전 제거될 수 있다. 일반적으로, 레지스트 부재(20a,20b,20c,20d)의 적어도 일부분은 보호층(22)의 선택 부분이 보호층(22a,22b,22c,22d)에 의해 놓여지지 않은 백금 도금 층(16)을 노출시키기 위해 에칭되는 동안 제거된다.
레지스트 부재(20a,20b,20c,20d)는 당업자들에게 공지된 산소 플라즈마를 이용함으로써 임의의 적합한 부재 내에서 제거될 수 있다. 레지스트 부재(20a,20b,20c,20d)는 도 3에 도시된 플라즈마 처리 장치 등의 임의의 적합한 플라즈마 처리 장치로 마스크 층(18a,18b,18c,18d)으로부터 각각 제거될 수 있으며, 산소를 포함하는 식각제 가스를 함유하는 플라즈마를 이용한다. 레지스트 부재(20a,20b,20c,20d)는 미국 55054-3299 캘리포니아 산타클라라 바우어스 애브뉴 소재의 어플라이드 머티어리얼스 인코포레이티드의 상표면 금속 레칭 MxP 센튜라 하에서 시판된 플라즈마 처리 장치의 개선된 스트립 패시베이션(ASP) 챔버 내의 마스크 층(18a,18b,18c,18d) 으로부터 각각 제거될 수 있다. 마스크 층(18a,18b,18c,18d)으로부터 레지스트 부재(20a,20b,20c,20d)를 각각 스트리핑할 때, ASP 챔버는 다음과 같은 조건으로 마이크로파 하류 O2/N2플라즈마를 이용할 수 있다:120초, 250℃, 1400W, 3000cc O2, 300CC N2, 및 2 Torr.
백금 전극 층(16)이 도 7 및 도 8에 도시되어진 것처럼 노출된 이후에, 백금 프로파일을 마이크론이하의 패턴으로 개선하도록 에칭된다. 이후 보다 상세히 진술되어질 것처럼, 백금 전극 층(16)이 에칭되기 이전에, 백금 전극 층(16)을 지지하는 반도체 기판(12)은 대략 150℃, 바람직하게 대략 150℃ 내지 500℃, 보다 바람직하게 대략 200℃ 내지 400℃, 가장 바람직하게 대략 250℃ 내지 350℃의 온도로 가열된다. 상기 반도체 기판(12)은 플라티늄 에칭 공정중에 웨이퍼(10)를 지지하는 받침대에 의해서 가열된다.
플라티늄 전극 층(16)은 캘리포니아 95054-3299, 산타클라라 보워스 애비뉴 3050 소재의 어플라이드 머티어리얼스 인코포레이티드의 상표들인 프리젼 에치 5000TM, 프리젼 에치 5000TM, 또는 AME8100 에치TM으로 판매되는 반응성 이온 에치 플라즈마 공정장치와 같은 적절한 플라즈마 공정장치에서 에칭된다. 플라티늄 전극층(16)을 에칭하는 다른 플라즈마 공정처리 장치로는 어플라이드 머티어리얼스 인코포레이티드의 상표인 메탈 에치 DPS 센츌라TM로 판매되는 것이 있다. 또다른 플라즈마 에칭기로는 ECR, ICP, 헬리컬 공명등이 있다.
플라티늄 전극층(16)을 에칭하기 위한 적절한 플라즈마 공정처리 장치가 에칭제 가스의 플라즈마를 실시하며, 이것은 양호한 플라티늄 프로화일을 생산할수 있다(예를들어, 약 85도 이상의 플라티늄 프로화일, 바람직하게는 87도 이상, 가장 바람직하게는 88.5도 이상의 프로화일). 에칭제 가스는 헤륨, 네온, 아르곤, 크립톤, 크세논 및 라돈과 같은 귀금속 및 할로겐 가스(예를들어, 불소, 염소, 브롬, 요오드, 아스타틴)과 같은 할로겐 함유 가스로 구성된다. 바람직하게도, 에칭제 가스는 헤륨, 네온, 및 아르곤으로 구성되는 그룹으로 부터 선택된 귀금속 및, 할로겐(바람직하게는 염소)으로 구성된다. 상기 귀금속 가스는 아르곤이 바람직하다. 에칭제 가스는 할로겐가스(예를들어, 염소)의 체적이 약 20 내지 95%로, 귀금속 가스(예를들어, 아르곤)의 체적이 5 내지 80%로 구성된다. 보다 바람직한 구성은 할로겐가스(예를들어, 염소)의 체적이 약 40 내지 80%로, 귀금속 가스(예를들어, 아르곤)의 체적이 20 내지 60%로 구성되는 것이며, 가장 바람직한 구성은 할로겐 가스(예를들어, 염소)의 체적이 약 55 내지 65%로, 귀금속 가스(예를들어, 아르곤)의 체적이 35 내지 45%로 구성되는 것이다.
본 발명의 다른 바람직한 실시예에서, 에칭제 가스는 BCl3및 HBr 및 이들의 혼합물로 구성되는 그룹으로 부터 선택된 가스, 할로겐(예를들어, 염소), 귀금속 가스(예를들어, 아르곤)로 바람직하게 구성된다. 상기 에칭제 가스는 할로겐가스(예를들어, 염소)의 체적이 약 10 내지 90%로, 귀금속 가스(예를들어, 아르곤)의 체적이 5 내지 80%로, BCl3및/또는 HBr의 체적이 약 4% 내지 25%로 바람직하게 구성된다. 보다 바람직한 구성은 할로겐가스(예를들어, 염소)의 체적이 약 40 내지 70%로, 귀금속 가스(예를들어, 아르곤)의 체적이 25 내지 55%로, BCl3및/또는 HBr의 체적이 약 5% 내지 20%로 구성되는 것이며, 가장 바람직한 구성은 할로겐가스(예를들어, 염소)의 체적이 약 50 내지 60%로, 귀금속 가스(예를들어, 아르곤)의 체적이 35 내지 45%로, BCl3및/또는 HBr의 체적이 약 5% 내지 15%로 구성되는 것이다. 에칭제 가스의 유량은 약 50 sccm 내지 500 sccm의 영역으로 갖는다. HBr 및/또는 BCl3는 플라티늄 전극 층(16)의 에칭동안에 플라티늄 잔유물을 제거한다. 플라즈마 함유 가스들은 높은 에너지를 갖는 이온농도를 구비하며, 물리적인 스퍼터링을 위해 종종 사용된다. 이온들로 인한 스퍼터링 효과는 플라즈마와 샘플 사이에 존재하는 상승 전위의 기능을 하게 된다.
플라티늄 전극층(16)을 에칭하는데 도 3의 플라즈마 공정처리 장치와 같은 적절한 플라즈마 공정처리 장치에 대한 반응로 조건은 하기와 같다.
압 력 0.1 ~ 300 mTorr
RF 동력 100 ~ 5000 watts
회전식 자기장 20 ~ 100 Gauss
웨이퍼의 온도 약 150 내지 500℃
플라티늄 층(16)의 에치율 200 ~ 6000
마스크(18)에 대한 플라티늄 전극층(16)의 선택성은 2 : 1보다 크며, 마스크층(18)을 위해 실시되는 물질에 따라에 좌우된다.
보다 일반적으로, 도 3의 플라즈마 공정장치와 같은 적절한 플라즈마 공정처리 장치에서 플라티늄 전극층(16)을 에칭하기 위한 공정 매개변수들은 하기의 테이블 Ⅳ에 기재되어 있다.
테이블 Ⅳ
공정변수 통상의 영역 바람직한 영역 최적의 영역
가스 유동(sccm)에칭제 가스 50 내지 500 75 내지 250 50 내지 150압력(mT) 20 내지 2000 30 내지 300 50 내지 15013.56MHz의 RF 동력 50 내지 3000 500 내지 2000 700 내지 1200(Watts)웨이퍼의 온도(℃) 150 내지 500 200 내지 400 250 내지 350자기장(gauss) 0 내지 140 20 내지 100 60 내지 80
상술된 바와 같이, 플라티늄 전극층(16)을 에칭하는 보다 양호한 에칭제 가스는 염소 및 아르곤의 혼합물, 또는 염소, 아르곤 및 HBr 및/또는 BCl3의 혼합물로 구성된다. 상기 에칭제 가스가 염소 및 아르곤의 혼합물(예를들어, 염소의 체적이 약 20% 내지 95%로, 아르곤의 체적이 약 5% 내지 80%로 구성됨), 또는 염소, 아르곤 및 HBr 및/또는 BCl3의 혼합물(예를들어, 염소의 체적이 약 10% 내지 90%로, 아르곤의 체적이 약 5% 내지 80%로, HBr 및/또는 BCl3의 체적이 약 4% 내지 25%로 구성됨)로 구성되며, 반도체 기판(12)이 약 150℃ 이상, 바람직하게는 약 150 내지 500℃로 가열된다면, 플라티늄 전극층(16)을 에칭하는 플라즈마 공정처리 장치가 높은 플라티늄 에치율(예를들어, 1000Å/min)에서 에칭제 가스의 고밀도 플라즈마로 플라티늄 전극층(16)을 에치하며, 에칭된 플라티늄 전극층(16e, 도 9 및 도 10에서 보다 잘 도시됨)을 생산하게 된다. 상기 에칭된 플라티늄 층(16e)은 코너(16g), 측벽(16s) 및 우수한 플라티늄 프로화일을 갖는 에칭된 플라티늄 전극층(16a, 16b, 16c, 16d)을 포함한다. 즉, 플라티늄 프로화일은 수평면에 대한 측벽(16s)의 각도가 약 85도 이상, 바람직하게는 87도 이상, 가장 바람직하게는 88.5도 이상으로 구성되어 있다. 플라티늄 전극들은 약 0.3㎛보다 작은 치수를 갖는 거리 또는 공간으로 분리된다. 각각의 플라티늄 전극들은 0.6㎛이하의 치수, 바람직하게는 0.3㎛이하의 치수를 포함한다. 보다 양호한 것은 각각의 플라티늄 전극이 0.6㎛이하의 너비 및 0.6㎛이하의 높이를 갖는 것이다.
에칭된 플라티늄 전극층(16e, 예를들어 에칭된 플라티늄 전극층(16a, 16b, 16c, 16d)도 있음)은 플라티늄 영역의 에지로 부터 연장되는 벽-형식 구조를 갖지 않게 된다. 상기 벽-형식 구조는 통상적으로 베일, 차단부, 래비트 이어스로 지칭된다. 그러므로, 본 발명의 방법이 반드시 베일-레스로 구성되는 에칭된 플라티늄 전극층(16a, 16b, 16c, 16d)을 생산한다. 상기 생산되는 에칭된 플라티늄 전극층(16a, 16b, 16c, 16d)들은 베일-레스를 가지며, 차단부, 래비트 이어스를 갖지 않게 구성된다. 이들은 반도체 장치(예를들어, 카패시턴스 구조)에서 전극으로서 기능하며 유전체 BST 또는 PZT층을 수용하는데 최고로 적합하다.
본 발명의 고밀도 플라즈마는 약 109/㎤ 이상의 이온 밀도, 바람직하게는 약 1011/㎤ 이상의 이온 밀도를 갖는 본 발명의 에칭제 가스의 플라즈마로 형성된다. 고 밀도 플라즈마의 공급원은 유도성 결합식 플라즈마(ICP)형식의 공급원 또는 전자 사이클로트론 공명(ECR), 헬리콘 공명과 같은 다양한 고밀도의 공급원으로 구성된다. 상기 3가지 모두 오늘날 생산 현상에서 사용되고 있다. 큰 차이점은 ECR 및 헬리콘 공급원이 플라즈마를 형성하며 포함하도록 외부 자기장을 실시하지만, ICP는 외부 자기장을 실시하지 않는다.
본 발명의 고밀도는 어플라이드 머티어리얼스 인코포레이티드의 상표인 DPSTM로 판매되는 분리형 플라즈마 공급 에치 챔버에서 플라즈마를 유도적으로 결합시키므로서 보다 바람직하게 발생된다. 상기 챔버는 이온의 가속 에너지 및 웨이퍼(10)에 대해 이온 플럭스를 분리시킨다. 이것은 유도성 공급원을 통해 플라즈마를 생산하므로서 이루어진다. 에치 챔버내의 음극이 이온 가속 에너지를 결정하기 위해 rf 전기장에 의해 폄항되는 동안에, 제 2 rf 공급원(예를들어, 유도성 공급원)은 이온플럭스를 결정한다. 상기 제 2 rf 공급원은 대형 시스(sheath)전압이 이온 에너지 및 이온 플럭스와 효과적으로 결합하며 음극 바이어스를 방해하며 발생되기 때문에 커패시터를 사용하지 않는다(예를들어, 음극과 같은 전기장을 이용하지 않음).
유도성 플라즈마 공급원은 전극 보다 오히러 유전체 윈도우를 통해 rf동력과 결합한다. 동력은 코일에서의 rf전류로 부터 rf 자기장(전지장이 아님)을 통해 연결된다. 상기 rf 자기장은 플라즈마를 관통하며, 플라즈마를 이온화하고 저지하는 rf 전기장(유도성 공급원)을 유도한다. 유동성 전기장은 용량성 전극과 같은 큰 시스 전압을 발생하지 못하며, 그러므로 유도성 공급원은 압도적으로 이온 플럭스에 영향을 준다. 음극 바이어스 동력은 대부분의 rf 동력(공급원보다 작은 크기)이 가속 이온에서 사용되기 때문에, 이온 플럭스를 결정하는데 그역할리 작다. 유도성 플라즈마 공급원 및 용량성 웨이퍼 바이어스의 조합은 DTSTM브랜드 에치 챔버와 같은 에치 챔버에서 웨이퍼(10)에 도달하는 이온 플럭스 및 이온 에너지의 독립적인 제어를 허용한다.
에칭된 플라티늄 전극층(16a, 16b, 16c, 16d)을 생산하도록 플라티늄 전극층(16)을 에칭하기 위하 본 발명의 고밀도 플라즈마를 생산하는 DTSTM브랜드 에치 챔버는 1995년 2월 15일자 미국 특허 제 08/389,889호로 특허 허여되는 발명의 명칭이 “하드브라이드 도체 및 멀티-반경 도옴형 시일링을 갖는 rf 플라즈마 반응로 ”인 명세서에 기술된 유도성 결합식 플라즈마 반응로의 DTSTM브랜드 에치 챔버들중의 하나로 구성될수 있다. 상기 미국 특허 08/389,889호로부터 유도성 결합식 플라즈마 반응로의 두 실시예를 나타내는 도 17 및 도 18을 참조하면, 반응 챔버(92)를 갖는 유도성 결합식 RF 플라즈마 반응로(90)가 도시되어 있으며, 중성 입자, (-) 입자, (+) 입자의 고밀도 플라즈마(94)를 발견하게 된다. 상기 반응로 챔버(92)는 접지된 유도성 원통형 측벽(60) 및 유전체 시일링(62)을 구비한다. 유도성 결합식 RF 플라즈마 반응로(90)는 챔버(92)의 중앙에서 웨이퍼(10)를 지지하기 위한 웨이퍼 받침대(64), 웨이퍼 받침대(64) 또는 웨이퍼(10)의 상부의 평면 근처를 시작하여 챔버의 상부를 둘러싸며 챔버의 상부를 항해 연장하는 원통형 인덕터 코일(68), 챔버(92)의 내부속으로 에칭 가스를 제공하는 가스 유입구(74) 및 에칭 가스 공급원(72), 챔버(92)에서 압력을 제어하는 펌프(76)를 추가로 구성한다. 상기 코일 인덕터(68)는 통상의 활성 RF 식 네트워크(80)를 통해 RF 발생기(78)또는 플라즈마 공급원의 동력 공급에 의해서 여자되며, 상기 코일 컨턱터(68)의 상부 권선은 뜨겁고, 하부 권선은 접지된다. 웨이퍼 받침대(64)는 편향의 RF 동력 공급 또는 발생기(84)에 연결된 내부 유도부(82) 및 외부 접지 컨덕터(86, 내부 유도부로 부터 절연됨)를 포함한다. 그래서, 발생기(84)에 의해서 웨이퍼 받침대에 적용되는 DC 바이어스 RF 동력 및 RF 발생기(78)로 부터 코일 인턱터(68)에 적용되는 플라즈마 동력원들은 각각 RF 공급을 제어한다. 편향 및 동력 공급원의 각각의 분리는 널리 공지된 방법으로 이온 밀도 및 이온 에너지의 독립적인 제어를 용이하게 한다. 유도성 결합식 플라즈마로서의 고밀도 플라즈마(94)를 생산하기 위해, 코일 인턱터(68)는 챔버(92)에 인접하며, RF 발생기(78)의 RF 공급원의 동력 공급에 연결된다. 코일 인덕터(68)는 고밀도 플라즈마(94)의 높은 이온 밀도를 저지하는 RF 동력을 제공한다. 코일 인턱터(68)의 기하학(68)은 반응로 챔버(92)내 고밀도 플라즈마(94)의 플라즈마 이온 밀도의 나선형 분배를 크게 할수 있다.
웨이퍼(10)를 가로질러 높은 밀도 플라즈마(94)의 플라즈마 나선형 분배의 불균형은 시일링(62)의 멀티 반경중의 하나를 각각 결정하거나 조정하고, 멀티-반경 도옴에서 시일링(62)을 형상화하므로서 개선된다. 도 17의 특별한 실시예에서 다중 반경의 돔형상은 천장(62)의 중앙부 주위의 천장(62)의 곡률은 다소 평평하며, 천장(62)의 주변부는 급한 곡룔을 가진다.
도 18에 도시된 바와 같이, 코일 인덕터(68)는 기술분야의 기술자에게 공지된 미러 코일 구조의 RF 전력 공급원(78, 80)에 결합될 수 있다. 도 18의 미러 코일 구조에서, RF 공급원(78, 80)은 코일 인덕터(68)의 중앙 권선부에 연결되며, 코일 인덕터(68)의 상단부 및 하단부는 둘다 접지된다. 미러 코일 구조는 코일 인덕터(68)상의 최대 포텐셜을 감소시키는 장점이 있다.
백금 전극 층(16)을 에칭하기 위하여 도 17 및 도 18에 도시된 고밀도 플라즈마(94)와 같은 고밀도 플라즈마를 적용하며, 후술되는 공정 변수하에서 에팅 작업전에 약 150℃보다 더 큰 온도로 반도체 기판(12)을 가열함으로써, 반도체 장치는 약 85도보다 더크거나 동일한, 더욱 바람직하게는 88.5도보다 더 크거나 동일한 각도치를 가진 백금 형상을 가지는 백금 전극으로 발생한다는 것을 알게 되었다. 백금 전극은 필수적으로 가려지지않는, 즉 백금 전극은 "울타리(fences)" 또는 "래빗 이어스(rabbit ears)"를 가지지 않는다. 플래티넘 전극은 약 0.3㎛ 보다 작거나 동일한 크기를 가지는 거리 또는 공간에 의하여 분리되는 것이 바람직하다. 각각의 백금 전극은 0.6㎛ 보다 작거나 동일한 값을 가지는 크기를 포함하며, 0.3㎛보다 작거나 동일한 값을 가지는 크기를 포함하는 것이 바람직하다. 각각의 백금 전극은 약 0.3㎛ 보다 작거나 동일한 폭, 약 0.6㎛ 보다 작거나 동일한 길이, 및 약 0.6㎛ 보다 작거나 동일한 높이를 가지는 것이 더욱 바람직하다.
플라즈마 전극 층(16)을 에칭하는, 도 17 및 도 18의 유도적으로 결합된 RF 플라즈마 반응기(90)과 같은 적절하게 유도적으로 결합된 RF 플라즈마 반응기에 대한 바람직한 반응기 상태는 다음과 같다.
압력 0.1 내지 300 Torr
코일 인덕터로의 RF 전력 100 내지 5000 watts
웨이퍼 받침대로의 RF 전력 50 내지 3000 watts
코일 인덕터로의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
웨이퍼의 온도 150 내지 500℃
백금 에칭률 200 내지 6000 Angstrom/min
도 17 및 도 18의 유도적으로 결합된 플라즈마 반응기(90)과 같은, 더욱 일반적으로, 적절하게 유도적으로 결합된 플라즈마 반응기에서 백금 전극층(16)을 에칭하기 위한 공정 변수는 아래 표 5에 리스트된 바와 같이 할로겐 가스(들)(즉, Cl2) 및 불활성 가스(들)(즉, 아르곤)을 포함하는 가스의 유동률을 기본으로 하여 리스트된 범위내에 있다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccmCl230 내지 400 50 내지 250 60 내지 150Ar 20 내지 300 30 내지 200 40 내지 100압력, mT 0.1 내지 300 10 내지 100 10 내지 40코일인덕터의RF 전력(Watts) 100 내지 5000 650 내지 2000 900 내지 1500웨이퍼 받침대의RF 전력(Watts) 50 내지 3000 100 내지 1000 150 내지 400웨이퍼의온도(℃) 약 150 내지 약 500 200 내지 400 250 내지 350백금 에칭률(Å/min) 200 내지 6000 500 내지 3000 1000 내지 2000코일 인덕터의RF 주파수 100K 내지 300MHz 400K 내지 20 MHz 2 내지 13.5 MHz웨이퍼 받침대의RF 주파수 100K 내지 300 MHz 400K 내지 20MHz 400K 내지 13.5MHz
더욱 일반적으로 부가하여, 부식 가스들이 할로겐 가스(들)(즉, 염소), 불활성 가스(들)(즉, 아르곤), 및 HBr 및/또는 BCl3의 혼합 가스일 때, 도 19의 유도적으로 결합된 플라즈마 반응기(90)와 같이, 적절하게 유도적으로 결합된 플라즈마 반응기에서의 백금 전극층(16)에 대한 공정 변수는 아래 표 6에 리스트된 바와 같이 할로겐 가스(들)(즉, Cl2) 및 불활성 가스(들)(즉, Ar) 및 HBr 및/또는 BCl3를 포함하는 가스즐의 유동률을 기초로하여 리스트된 범위내에 있다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccmCl230 내지 400 50 내지 250 60 내지 150Ar 20 내지 300 30 내지 200 40 내지 100HBr 및/또는 BCl25 내지 70 5 내지 40 5 내지 20압력, mT 0.1 내지 300 10 내지 100 10 내지 40코일인덕터의RF 전력(Watts) 100 내지 5000 650 내지 2000 750 내지 1000웨이퍼 받침대의RF 전력(Watts) 50 내지 3000 100 내지 1000 150 내지 400웨이퍼의온도(℃) 약 150 내지 약 500 200 내지 400 250 내지 350백금 에칭률(Å/min) 200 내지 6000 500 내지 3000 1000 내지 2000코일 인덕터의RF 주파수 100K 내지 300MHz 400K 내지 20 MHz 2 내지 13.5 MHz웨이퍼 받침대의RF 주파수 100K 내지 300 MHz 400K 내지 20MHz 400K 내지 13.5MHz
그러므로, 전술한 공정 상태는 약 5sccm으로부터 약 500sccm의 범위내의 유동률을 가지는 부식 가스(들)의 유동률을 기초로하는 것이 바람직하다. 전술된 바와 같이, 부식 가스는 할로겐(바람직하게는 염소) 및 헬륨, 네온, 및 아르곤으로 구성하는 그룹으로부터 선택된 불활성 가스를 포함 또는 구성 또는 필수적으로 구성된다. 불활성 가스는 아르곤인 것이 바람직하다. 또한 전술된 바와 같이, 더욱 상세하게는 부식 가스는 할로겐 가스(즉, 염소) 용적의 약 20%로부터 상기 용적의 95%까지 및 불활성 가스(즉, 아르곤) 용적의 약 5%로부터 상기 용적의 약 80%까지; 및 바람직하게는 할로겐 가스(즉, 염소) 용적의 약 40%로부터 상기 용적의 약 80%까지 및 불활성 가스(즉, 아르곤) 용적의 약 20%로부터 상기 용적의 60%까지; 더욱 바람직하게는 할로겐 가스(즉, 염소) 용적의 약 55%로부터 상기 용적의 65%까지 및 불활성 가스(즉, 아르곤) 용적의 35%로부터 상기 용적의 45% 까지 포함 또는 구성 또는 필수적으로 구성된다. 전술된 바와 같이, 본 발명의 바람직한 또 다른 실시예에서, 부식 가스는 할로겐(즉, 염소), 불활성 가스(즉, 아르곤), 및 HBr, BCl3, 및 그 혼합물로 구성되는 그룹으로부터 선택된 가스를 포함하거나, 또는 바람직하게는 구성되거나, 필수적으로 구성된다. 부식 가스는 할로겐 가스(즉, 염소)의 용적의 약 10%로부터 용적의 약 90% 까지 및 불활성 가스(즉, 아르곤)의 용적의 약 5%로부터 약 80%까지 및 Br 및/또는 BCl3의 용적의 약 4%로부터 약 25% 까지 ; 바람직하게는 할로겐 가스(즉, 염소)의 용적의 약 40%로부터 약 70% 까지 및 불활성 가스(즉, 아르곤)의 용적의 25%로부터 약 55% 까지 및 HBr 및/또는 BCl3의 용적의 약 5%로부터 약 20% 까지; 더욱 바람직하게는 할로겐 가스(즉, 염소)의 용적의 약 50%로부터 약 60% 까지 및 불활성 가스(즉, 아르곤)의 용적의 35%로부터 약 45% 까지 및 HBr 및/또는 BCl3의 용적의 약 5%로부터 약 15% 까지 특히 포함하거나, 구성되거나 또는 필수적으로 구성된다. 그러므로, 표 Ⅴ 및 표 Ⅵ 에서 규정되는 전술된 공정 상태는 용적 값(s)에 의한 이 같은 부식 가스 균일성 및 이 같은 퍼센트(%)를 기초로 할 수 있다.
도 2, 도 6, 도 8 및 도 10에 도시된 본 발명의 실시예에 대해, 보호층(22a, 22b, 22c 및 22d)는 에칭 공정동안 에칭된 백금 전극 층(16a, 16b, 16c 및 16d)의 코너(16g)를 보호한다. 도 11 및 도 12에 통상적으로 가장 잘 도시된 바와 같이, 에칭된 백금 전극 층(16a, 16b, 16c 및 16d)의 상부 또는 보호층(22a, 22b, 22c 및 22d)의 상부상의 자여 마스크 층(18r)을 나오는 마스크 층(mask layors; 22a, 22b, 22c 및 22d)의 일부는 백금 에칭 공정동안 에칭될 것이다. 보호층(22a, 22b, 22c 및 22d)은 각각 에칭된 백금 전극층(16a, 16b, 16c 및 16d)의 코너(16g)는 백금 에칭동안 보호되며, 특히 필수적으로 모든 백금 에칭 공정이 마스크 층(18a, 18b, 18c 및 18d)을 제거하는 경우 보호된다. 에칭된 백금 전극층(16a, 16b, 16c 및 16d)의 코너(16g)를 유지함으로써, 에칭된 백금 전극층(16a, 16b, 16c 및 16d)를 형성하기 위한 백금 전극 층(16)의 에칭 동안 백금 형상의 질을 보호한다.
백금 전극층(16)이 백금 전극층들(16a, 16b, 16c 및 16d)를 형성하기 위하여 에칭된 후, 잔여 마스크층들(18r)(백금 에칭 공정동안 완전히 제거되지 않은 경우)은 노출되며 에칭된 백금 전극층들(16a, 16b, 16c 및 16d)의 상부에 통상적으로 남으며, 도 11 및 도 12에서 모두 가장 잘 도시된 바와 같이, 필수적으로 노출되며 에칭된 백금 전극 층들(16a, 16b, 16c 및 16d)에 의하여 각각 지지된다. 잔여 마스크 층들(18r)은 임의의 적절한 수단에 의하여 및 임의의 적절한 방식으로 제거되며, CHF3/Ar 플라즈마에 의한다. 도 12에 도시된 본 발명의 실시예에 대한 것 처럼, 보호층들(22a, 22b, 22c 및 22d)은 임의의 적절한 수단에 의하여 및/또는 임의의 적절한 방식으로 제거될 수 있다. 예를 들면, 보호층들(22a, 22b, 22c 및 22d)이 TiN을 포함할 때, 아래의 표 7에 리스트된 바와 같이 후술되는 장치 및 공정 조건하에서 금속 에치 DPS 센튜라TM브랜드 플라즈마 처리 장치(DSP CenturaTMbrand plasma processing apparatus)의 DPSTM브랜드 챔버(DPSTMbrand chamber)의 Ar/Cl2플라즈마에 의하여 제거된다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccmCl220 내지 150 30 내지 120 40 내지 100Ar 20 내지 100 30 내지 80 40 내지 60압력, mT 0.5 내지 40 4 내지 30 7 내지 14코일인덕터의RF 전력(Watts) 500 내지 3000 500 내지 2000 800 내지 1200웨이퍼 받침대의RF 전력(Watts) 50 내지 500 50 내지 300 50 내지 150웨이퍼의온도(℃) 20 내지 500 20 내지 150 80 내지 130백금 에칭률(Å/min) 500 내지 5000 1000 내지 3500 1500 내지 2500코일 인덕터의RF 주파수 100K 내지 300MHz 400K 내지 20 MHz 2 내지 13.5 MHz웨이퍼 받침대의RF 주파수 100K 내지 300 MHz 400K 내지 20MHz 400K 내지 13.5MHz
도 12에 도시된 본 발명의 실시예에 대한 잔여 마스크층들(18r), 또는 잔여 마스크층들(18r) 및 보호층들(22a, 22b, 22c alc 22d)의 제거후, 도 13 또는 도 14의 노출되며 에칭된 플라즈마 전극층 구조물이 남는다. 도 15 및 도 16에 각각 가장 잘 도시된 바와 같이, 배리어 층(barrier layer; 14)은 잔여 마스크층들(18r)(도 15 참조) 및 보호층(22a, 22b, 22c 및 22d)(도 16 참조)의 제거동안 또는 제거후 동시에 에칭될 수 있다.
도 1에 도시된 본 발명의 실시예에 대한 패턴형 저항체(20)[즉, 저항 부재(20a, 20b, 20c 및 20d)], 또는 패턴형 저항체(20)[즉, 저항 부재(20a, 20b, 20c 및 20d)] 및/또는 도 2에 도시된 본 발명의 실시예에 대해 마스크 층들(18a, 18b, 18c 및 18d)은 임의의 적절한 때에 제거될 수 있으며, 백금 전극층(16)의 에칭전에 제거되는 것이 바람직하다. 유사하게, 도 2에 도시된 본 발명의 실시예에 대해 보호층들(22a, 22b, 22c 및 22d) 및/또는 마스크층들(18a, 18b, 18c 및 18d)은 또한 백금 에칭 공정동안 또는 백금 에칭 공정후와 같은 임의의 적절한 때에 제거될 수 있다.
본 발명은 현재 공지된 최고의 모드를 제시하는 후술되는 예에 의하여 설명되며, 이에 제한되지 않는다. 이 예에서 제시되는 농도, 혼합비율, 온도, 압력, 비율, 합성 등과 같은 모든 변수는 본 발명의 범주를 제한하지 않는다.
예 Ⅰ
시험용 반도체 웨이퍼는 후술되는 막적층으로 형성된다;
즉, 0.8 ㎛ 패턴형 PR(광 저항체)/5000Å 산화물/100Å Ti/1000Å Pt/300Å TiN.
패턴형 PR 시헌용 반도체 웨이퍼의 특징적인 크기는 0.3 ㎛ 블록 및 0.25 ㎛ 간격이다. 산화물 마스크(즉, 마스크층)는 미국 95054-3299 캘리포니아 산타 클라라 바우어스 애브뉴 3050, 어플라이드 머티어리얼스 인코포레이티드의 상표 옥사이드 에치 MxP 센츄라TM(Oxide Etch MxP CenturaTM)하에서 시판되는 플라즈마 처리 장치의 산화물 에칭 챔버에서 공개된다. 산화 마스크를 개방하기 위한 부식 가스는 아르곤 약 68% 용적 및 CHF3약 32% 용적을 포함한다. 반응기 및 공정 상태는 다음과 같다.
반응기 상태
압력 60 mTorr
RF 전력 850 watts
회전형 자기장 40 Gauss
시험용 웨이퍼의 온도 100 ℃
산화 마스크 에칭율 300 Å/min
Ar 및 CHF3의 유동율에 기초한 공정 상태
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전원 밀도 850 watts
시험용 웨이퍼의 온도(℃) 100 ℃
산화 마스크 에칭율(Å/min) 3000 Å/min
자기장(Gauss) 40 Gauss
광저항체는 120초, 250℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr인 극초단파 하부 스트림 O2/N2플라즈마를 이용하여 후술하는 방법하에서 금속 에치 MxP 센츄라TM브랜드 플라즈마 처리 장치의 ASP 챔버내의 광마스크로부터 벗겨진다.
Ti 보호층은 부식가스로서 Ar, Cl2및 BCl3로 에칭되며 후술하는 반응기 및 공정 상태하에서 금속 에치 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버에서 에칭된다.
반응기 상태
압력 12 mTorr
코일 인덕터로의 RF 전원 900 watts
웨이퍼 받침대로의 RF 전원 100 watts
시험용 웨이퍼의 온도 110 ℃
Ti 에칭률 2000 Å/min
Ar 및 Cl2및 BCl3의 유동률에 기초한 공정 상태
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전원 900 watts
웨이퍼 받침대로의 RF 전원 100 watts
시험용 웨이퍼의 온도 110 ℃
Ti 에칭율 2000 Å/min
시험용 반도체 웨이퍼의 백금층은 그때 부식 가스로서 Ar 및 Cl2로 후술되는 반응기 및 공정 상태하에서 금속 에치 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버내에서 에칭된다.
반응기 상태
압력 12mTorr
코일 인덕터로의 RF 전원 900 watts
웨이퍼 받침대로의 RF 전원 150 watts
시험용 웨이퍼의 온도 260 ℃
백금 에칭율 1500 Å/min
Ar 및 Cl2의 유동률을 기초로 한 공정 상태
Ar 40 sccm
Cl260 sccm
압력, mTorr 12 mTorr
코일 인덕터로의 RF 전원 900 watts
웨이퍼 받침대로의 RF 전원 150 watts
시험용 웨이퍼의 온도(℃) 260 ℃
Pt 에칭률(Å/min) 1500 Å/min
Pt/산화물 마스크의 선택도 1:1
시험용 반도체 웨이퍼의 결과적인 에칭된 백금층은 도 19에 도시되며, 약 87도의 백금 형상이 도시된다.
산화물 마스크는 그때 도 20에 도시된 노출되는 시험용 반도체 웨이퍼를 생산하기 위하여 6:1 HF 용액내에서 제거된다. 잔여 Ti 보호층은 임의의 적절한 수단에 의하여 및/또는 부식가스로서 Ar, BCl3및 Cl2로 에칭되는 것과 같은 임의의 적절한 방식으로 및 후술하는 반응기 및 처리 상태하에서 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버내에서 제거된다.
반응기 상태
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
시험용 웨이퍼의 온도 110 ℃
Ti 에칭률 2000 Å/min
Ar 및 Cl2의 유동률을 기초로 한 공정 상태
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 100 watts
시험용 반도체의 온도 110 ℃
Ti 에칭률 2000 Å/min
예 Ⅱ
시험용 반도체 웨이퍼는 후술되는 막적층으로 형성된다;
즉, 0.8 ㎛ 패턴형 PR(광 저항체)/5000Å 산화물/600Å Ti/2000Å Pt/300Å TiN.
패턴형 PR 시험용 반도체 웨이퍼의 특징적인 크기는 0.25 ㎛ 블록 및 0.2 ㎛ 간격이다. 산화물 마스크(즉, 마스크층)는 미국 95054-3299 캘리포니아 산타 클라라 바우어스 애브뉴 3050, 어플라이드 머티어리얼스 인코포레이티드의 상표 옥사이드 에치 MxP 센츄라TM(Oxide Etch MxP CenturaTM)하에서 시판되는 플라즈마 처리 장치의 산화물 에칭 챔버에서 공개된다. 산화 마스크를 개방하는 부식가스는 아르곤 약 68% 용적 및 CHF3DIR 32% 용적으로 구성된다. 반응기 및 공정 상태는 다음과 같다.
반응기 상태
압력 60 mTorr
RF 전력 850 watts
회전형 자기장 40 Gauss
시험용 웨이퍼의 온도 100 ℃
산화물 마스크 에칭률 3000 Å/min
Ar 및 CHF3의 유동률에 기초한 공정 상태
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
시험용 웨이퍼의 온도(℃) 100 ℃
산화물 마스크 에칭률(Å/min) 3000 Å/min
자기장(Gauss) 40 Gauss
광저항체는 120초, 250℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr인 극초단파 하부 스트림 O2/N2플라즈마를 이용하여 후술하는 방법하에서 금속 에치 MxP 센츄라TM브랜드 플라즈마 처리 장치의 ASP 챔버내의 광마스크로부터 벗겨진다.
TiN 보호층은 부식가스로서 Ar, Cl2및 BCl3로 에칭되며 후술하는 반응기 및 공정 상태하에서 금속 에치 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버에서 에칭된다.
반응기 상태
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 100 watts
시험용 웨이퍼의 온도 110 ℃
TiN 에칭률 2000 Å/min
Ar 및 Cl2및 BCl3의 유동률에 기초한 공정 상태
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 100 watts
시험용 웨이퍼의 온도(℃) 110 ℃
TiN 에칭률 2000 Å/min
시험용 반도체 웨이퍼의 백금 층은 그때 부식 가스로서 후술하는 반응기 및 공정 상태하의 금속 에치 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버에서 Ar 및 Cl2및 BCl3로 에칭됨다.
반응기 상태
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 150 watts
시험용 웨이퍼의 온도 260 ℃
TiN 에칭률 1500 Å/min
Ar 및 Cl2및 BCl3의 유동률에 기초한 공정 상태
Ar 40 sccm
Cl260 sccm
BCl310 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 150 watts
시험용 웨이퍼의 온도(℃) 260 ℃
TiN 에칭률 1500 Å/min
Pt/산화 마스크의 선택도 1:1
시험용 반도체 웨이퍼의 결과적으로 에칭된 백금 층은 도 23에 도시되며, 약 87도의 백금 형상이 도시된다.
산화 마스크는 도 20에 도시된 것과 유사한 노출된 시험용 반도체 웨이퍼를 생산하기 위하여 6:1 HF 용액에서 제거된다. 잔여 TiN 보호층은 임의의 적절한 수단에 의하여 및/또는 부식가스로서 Ar, BCl3및 Cl2로 에칭되는 것과 같은 임의의 적절한 방식으로 및 후술하는 반응기 및 처리 상태하에서 DPS 센츄라TM브랜드 플라즈마 처리 장치의 DPSTM브랜드 챔버내에서 제거된다.
반응기 상태
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
시험용 웨이퍼의 온도 110 ℃
TiN 에칭률 2000 Å/min
Ar 및 Cl2및 BCl3의 유동률에 기초한 공정 상태
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
반도체 받침대로의 RF 전력 100 watts
시험용 웨이퍼의 온도(℃) 110 ℃
TiN 에칭률 2000 Å/min
결론
그러므로, 본 발명의 실시에 의하여 백금 전극층(16)을 에칭하기 위한 방법이 제공된다. 에칭된 백금 전극층(16)은 수평면에 대하여 측벽들(16s)의 각도(α)가 약 85도 이상인 백금 프로파일을 가지는 복수의 에칭된 백금 전극층들(16a, 16b, 16c 및 16d)를 포함한다. 백금 전극층들(16a, 16b, 16c 및 16d)는 0.3 ㎛ 이하인 크기를 가지는 거리 또는 간격에 의하여 분리된다. 각각의 백금 전극 층들(16a, 16b, 16c 및 16d)는 약 0.6 ㎛ 이하인 값을 가지는 크기를 포함하며, 약 0.3 ㎛ 이하인 값을 가지는 크기를 포함하는 것이 바람직하다. 더욱 바람직하게는, 각각의 백금 전극층들(16a, 16b, 16c 및 16d)는 약 0.3 ㎛ 이하의 폭, 약 0.6 ㎛ 이하의 길이, 및 약 0.6 ㎛ 이하의 높이를 가진다. 발생되고 에칭된 백금 전극 층들(16a, 16b, 16c 및 16d)이 필수적으로 "울타리(fences)" 또는 "래빗 이어스(rabbit ears)"가 없는 "노출이므로, 상기 백금 전극 층들은 반도체 장치를 생산하는데 있어서 유전체(예를 들면, BST충)를 수용하기 위하여 이상적으로 적응된다. 예 Ⅰ의 부식 가스는 Ar 약 40%의 용적 및 Cl2약 60%의 용적으로 구성되며 약 87도의 백금 프로파일로 에칭된 백금층을 생산한다. 예 Ⅱ에서, 부식 가스는 Cl254.5% 용적(약 55% 용적), Ar 36.4% 용적(약 36% 용적), 및 BCl39.1% 용적(약 9% 용적)으로 구성되며, 결과적인 부식 백금층은 약 87도의 백금 프로파일을 가진다.
그러므로, 본 발명이 특별한 실시예에 대하여 본 명세서에 설명되는 반면, 변형, 다양한 변화 및 치환의 범위는 전술된 공개에서 의도되며, 본 발명의 임의의 예들 및 임의의 특징은 설명된 본 발명의 범위 및 사상으로부터 이탈됨이 없이 대응하는 다른 특징의 이용 없이 적용된다. 그러므로, 본 발명의 본질적인 범위 및 사상으로부터 이탈됨이 없이 본 발명에 특별한 상황 또는 재료를 적용하기 위하여 변형될 수 있다. 본 발명을 달성하기 위한 최적의 모드로서 공개된 특별한 실시예(들)에 제한되지 않지만, 본 발명은 첨부된 청구범위의 범위내의 모든 실시예들 및 균등물들을 포함한다.

Claims (94)

  1. 기판상에 배치된 플라티늄 층을 에칭하는 방법에 있어서,
    (a) 플라티늄층을 지지하는 기판을 제공하는 단계와;
    (b) 상기 (a)단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계와;
    (c) 적어도 하나의 에칭된 플라티늄층을 지지하는 기판을 생산하기 위해 할로겐 함유 가스 및 귀금속 가스를 구비하는 에칭제 가스의 고밀도 플라즈마로 플라티늄층을 에칭하는 단계로 구성되는 방법.
  2. 제 1 항에 있어서, 상기 에칭제 가스가 추가로 BCl3를 구성하는 방법.
  3. 제 1 항에 있어서, 상기 에칭제 가스가 추가로 HBr를 구성하는 방법
  4. 제 1 항에 있어서, 상기 에칭된 플라티늄 층이 약 85°이상의 플라티늄 프로화일을 포함하는 방법.
  5. 제 1 항에 있어서, 상기 할로겐 함유 원소가 염소로 구성되며, 상기 귀금속 가스가 아르곤으로 구성되는 방법.
  6. 제 5 항에 있어서, 상기 에칭제 가스가 염소, 아르곤 및 BCl3을 반드시 구성하는 방법.
  7. 제 1 항에 있어서, 상기 단계(a)의 플라티늄 층이 상기 에칭 단계 (c)중에 플라티늄층을 선택적으로 보호하기 위해 플라티늄 층의 선택된 부분에 배치된 마스크층을 추가로 구비하는 방법.
  8. 제 4 항에 있어서, 상기 단계(a)의 플라티늄 층이 상기 에칭 단계 (c)중에 플라티늄층을 선택적으로 보호하기 위해 플라티늄 층의 선택된 부분에 배치된 마스크층을 추가로 구비하는 방법.
  9. 제 5 항에 있어서, 상기 단계(a)의 플라티늄 층이 상기 에칭 단계 (c)중에 플라티늄층을 선택적으로 보호하기 위해 플라티늄 층의 선택된 부분에 배치된 마스크층을 추가로 구비하는 방법.
  10. 제 7 항에 있어서, 상기 단계(a)의 플라티늄 층이 마스크층 및 플라티늄 층사이의 플라티늄 층의 선택된 부분에 배치된 보호층을 추가로 구비하는 방법.
  11. 제 8 항에 있어서, 상기 단계(a)의 플라티늄 층이 마스크층 및 플라티늄 층사이의 플라티늄 층의 선택된 부분에 배치된 보호층을 추가로 구비하는 방법.
  12. 제 9 항에 있어서, 상기 단계(a)의 플라티늄 층이 마스크층 및 플라티늄 층사이의 플라티늄 층의 선택된 부분에 배치된 보호층을 추가로 구비하는 방법.
  13. 제 7 항에 있어서, 상기 에칭 단계(c)후에 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  14. 제 8 항에 있어서, 상기 에칭 단계(c)중에 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  15. 제 9 항에 있어서, 상기 에칭 단계(c)후에 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  16. 제 10 항에 있어서, 상기 에칭 단계(c)중에 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  17. 제 16 항에 있어서, 상기 마스크 층을 제거한후 상기 보호층을 제거하는 단계를 추가로 구성하는 방법.
  18. 제 1 항에 있어서, 상기 고밀도 플라즈마가 고밀도의 유도성 결합식 플라즈마로 구성되는 방법.
  19. 제 18항에 있어서, 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도의 플라즈마에서 단계(a)의 플라티늄 층을 포함하는 기판을 배치하는 단계와;
    공 정 변수 수 치 에칭제 가스의 유동 50 내지 500 sccm 압력(m Torr) 0.1 내지 300 milli Torr 코일 인덕터의 RF 동력(watts) 100 내지 5000 watts 웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts 플라티늄 웨이퍼의 온도(℃) 150 내지 500℃ 플라티늄 에치율(Å/min) 200 내지 6000Å/min 코일 인덕터의 RF 주파수 100 K 내지 300MHz 웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
    상기 공정조건하에서 고밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성하는 방법.
  20. 제 5 항에 있어서, 상기 고밀도의 플라즈마가 고밀도의 유도성 결합식 플라즈마로 구성되는 방법.
  21. 제 20 항에 있어서, 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도의 플라즈마에서 단계(a)의 플라티늄 층을 포함하는 기판을 배치하는 단계와;
    공 정 변수 수 치 Cl2 체적이 20 내지 95% Ar 체적이 5 내지 80% 압력(m Torr) 0.1 내지 300 milli Torr 코일 인덕터의 RF 동력(watts) 100 내지 5000 watts 웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts 플라티늄 에치율(Å/min) 200 내지 6000Å/min 코일 인덕터의 RF 주파수 100 K 내지 300MHz 웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
    상기 공정조건하에서 고밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성하는 방법.
  22. 제 1 항에 있어서, 상기 에칭된 플라티늄 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  23. 제 4 항에 있어서, 상기 에칭된 플라티늄 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  24. 제 1 항에 있어서, 상기 기판이 약 0.3㎛이하의 거리로 분리되는 2 이상의 에칭된 플라티늄 층을 구비하는 방법.
  25. 제 24 항에 있어서, 상기 에칭된 플라티늄 층들이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  26. 제 25 항에 있어서, 상기 에칭된 플라티늄 층들이 각각 약 0.3㎛이하의 값을 갖는 치수를 포함하는 방법.
  27. 플라티늄 전극을 포함하는 커패시턴스 구조를 생산하는 방법에 있어서,
    (a) 플라티늄 전극층의 선택된 부분상에 배치되는 하나 이상의 마스크층 및 플라티늄 전극층을 지지하는 기판을 제공하는 단계와;
    (b) 상기 (a)단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계와;
    (c) 하나 이상의 플라티늄 전극을 갖는 커패시턴스 구조를 생산하기 위해 할로겐 및 귀금속 가스를 구비하는 에칭제 가스의 플라즈마로 플라티늄 전극층을 에칭하는 단계로 구성되는 방법.
  28. 제 27 항의 방법에 따라 제조된 커패시턴스 구조.
  29. 제 27 항에 있어서, 상기 단계(c)의 캐패시터 구조가 적어도 하나의 마스크 층을 갖는 에칭된 플라티늄 전극층을 지지하는 기판을 포함하며, 상기 마스크 층이 에칭된 플라티늄 전극층의 선택된 부분상에 배열되는 방법.
  30. 제 29 항에 있어서, 상기 에칭 단계(c)후에 적어도 하나의 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  31. 제 29 항에 있어서, 상기 에칭 단계(c)중에 적어도 하나의 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  32. 제 29 항에 있어서, 상기 단계(a)의 플라티늄 층이 마스크층 및 플라티늄 전극 층사이의 플라티늄 전극층의 선택된 부분에 배치된 보호층을 추가로 구비하는 방법.
  33. 제 27 항에 있어서, 상기 에칭제 가스의 플라즈마가 고밀도의 유도성 결합식 플라즈마로 구성되는 방법.
  34. 제 33 항에 있어서, 상기 고밀도의 유도성 결합식 플라즈마의 에칭제 가스가 BCl3을 추가로 구성하는 방법.
  35. 제 33 항에 있어서, 상기 귀금속 가스가 혜륨, 네온, 아르곤 및 이들의 혼합물로 구성되는 그룹으로 부터 선택되는 방법.
  36. 제 33 항에 있어서, 상기 고밀도의 유도성 결합식 플라즈마의 에칭제 가스의 귀금속 가스가 혜륨, 네온, 아르곤, 크립톤, 크세논, 라돈 및 이들의 혼합물로 구성되는 그룹으로 부터 선택되는 방법.
  37. 제 33 항에 있어서, 상기 고밀도의 유도성 결합식 플라즈마의 에칭제 가스가 염소, 아르곤 및 BCl3으로 반드시 구성되는 방법.
  38. 제 33 항에 있어서, 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도의 플라즈마에서 단계(a)의 플라티늄 전극층을 포함하는 기판을 배치하는 단계와;
    공 정 변수 수 치 에칭제 가스의 유동 50 내지 500 sccm 압력(m Torr) 0.1 내지 300 milli Torr 코일 인덕터의 RF 동력(watts) 100 내지 5000 watts 웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts 플라티늄 에치율(Å/min) 200 내지 6000Å/min 코일 인덕터의 RF 주파수 100 K 내지 300MHz 웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
    상기 공정조건하에서 고밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성하는 방법.
  39. 제 27 항에 있어서, 상기 에칭제 가스의 플라즈마가 고밀도의 유도성 결합식 플라즈마로 구성되며, 에칭제 가스가 염소 및 아르곤으로 구성되는 방법.
  40. 제 39 항에 있어서, 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도의 플라즈마에서 단계(a)의 플라티늄 전극층을 포함하는 기판을 배치하는 단계와;
    공 정 변수 수 치 Cl2 체적이 20 내지 95% Ar 체적이 5 내지 80% 압력(m Torr) 0.1 내지 300 milli Torr 코일 인덕터의 RF 동력(watts) 100 내지 5000 watts 웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts 플라티늄 에치율(Å/min) 200 내지 6000Å/min 코일 인덕터의 RF 주파수 100 K 내지 300MHz 웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
    상기 공정조건하에서 고밀도의 플라즈마 챔버에서 에칭 단계(c)를 수행하는 단계들을 추가로 구성하는 방법.
  41. 제 27 항에 있어서, 상기 플라티늄 전극이 약 0.3㎛이하의 치수를 포함하는 방법.
  42. 제 27 항에 있어서, 상기 플라티늄 전극층이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  43. 제 42 항에 있어서, 상기 플라티늄 전극이 약 0.3㎛이하의 치수를 포함하는 방법.
  44. 제 27 항에 있어서, 상기 기판이 약 0.3㎛이하의 거리로 분리되는 2 이상의 플라티늄 전극을 지지하는 방법.
  45. 제 44 항에 있어서, 상기 플라티늄 전극층들이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  46. 제 45 항에 있어서, 상기 플라티늄 전극층들이 각각 약 0.3㎛이하의 값을 갖는 치수를 포함하는 방법.
  47. 반도체 장치를 제조하는 방법에 있어서,
    (a) 표면에 회로소자가 형성되어 있는 기판상에 패턴형 리지스트 층, 마스크층 및 플라티늄 전극 층을 형성하는 단계와;
    (b) 상기 패턴형 리지스트 층, 잔류 마스크층 및 플라티늄 전극 층을 지지하는 기판을 생산하기 위해, 플라티늄 전극 층으로 부터 마스크층의 일부를 제거하고, 극복하도록 에칭제 가스의 플라즈마로 마스크 층의 일부를 에칭하는 단계와;
    (c) 상기 잔류 마스크 층 및 플라티늄 전극 층을 지지하는 기판을 생산하기 위해 단계(b)의 패턴형 리지스트 층을 제거하는 단계와;
    (d) 상기 단계(c)의 기판을 약 150℃ 이상으로 가열하는 단계와;
    (e) 적어도 하나의 플라티늄 전극을 갖는 반도체 장치를 생산하도록 할로겐 가스 및 염소를 구비하는 에칭제 가스의 고밀도 플라즈마로 단계(d)의 플라티늄 전극층을 에칭하는 단계로 구성되는 방법.
  48. 제 47 항에 있어서, 상기 에칭 단계(e)후에 적어도 잔류 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  49. 제 47 항에 있어서, 상기 에칭 단계(e)중에 잔류 마스크층을 제거하는 단계를 추가로 구성하는 방법.
  50. 제 47 항에 있어서, 상기 단계(a)의 형성이 마스크층 및 플라티늄 전극 층사이의 플라티늄 전극층상의 보호층을 추가로 구비하는 방법.
  51. 제 47 항에 있어서, 상기 단계(e)의 고밀도 플라즈마의 에칭제 가스가 BCl3, HBr 및 이들의 혼합물로 구성되는 그룹으로 부터 선택된 가스 및 염소, 아르곤으로 반드시 구성되는 방법.
  52. 제 47 항에 있어서, 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도의 플라즈마에서 단계(d)의 플라티늄 전극층을 포함하는 기판을 배치하는 단계와;
    공 정 변수 수 치 Cl2 체적이 20 내지 95% Ar 체적이 5 내지 80% 압력(m Torr) 0.1 내지 300 milli Torr 코일 인덕터의 RF 동력(watts) 100 내지 5000 watts 웨이퍼 받침대의 RF동력(watts) 50 내지 3000 watts 플라티늄 에치율(Å/min) 200 내지 6000Å/min 코일 인덕터의 RF 주파수 100 K 내지 300MHz 웨이퍼 받침대의 RF 주파수 100 k 내지 300MHz
    상기 공정조건하에서 고밀도의 플라즈마 챔버에서 에칭 단계(e)를 수행하는 단계들을 추가로 구성하는 방법.
  53. 제 47 항에 있어서, 상기 플라티늄 전극이 약 0.3㎛이하의 치수를 포함하는 방법.
  54. 제 47 항에 있어서, 상기 플라티늄 전극이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  55. 제 54 항에 있어서, 상기 플라티늄 전극이 약 0.3㎛이하의 치수를 포함하는 방법.
  56. 제 47 항에 있어서, 상기 기판이 약 0.3㎛이하의 거리로 분리되는 2 이상의 플라티늄 전극을 지지하는 방법.
  57. 제 56 항에 있어서, 상기 플라티늄 전극들이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  58. 제 57 항에 있어서, 상기 플라티늄 전극들이 각각 약 0.3㎛이하의 값을 갖는 치수를 포함하는 방법.
  59. 기판상에 배치된 플라티늄 전극층을 에칭하는 방법에 있어서,
    (a) 플라티늄 전극층, 상기 플라티늄 전극층상의 보호층, 상기 보호층상의 마스크층 및, 마스크층상의 패턴형 리지스트층을 지지하는 기판을 제공하는 단계와;
    (b) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층, 보호층상의 마스크층 및, 잔류 마스크층상의 패턴형 리지스트층을 지지하는 기판을 생산하기 위해, 그리고 보호층의 일부를 노출하기 위해 플라티늄 전극층으로 부터 마스크층의 일부를 제거하고, 극복하도록 에칭제 가스의 플라즈마로 마스크 층의 일부를 에칭하는 단계와;
    (c) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층 및, 보호층상의 마스크층을 지지하는 기판을 생산하기 위해 단계(b)의 잔류 마스크층으로 부터 패턴형 리지스트층을 제거하는 단계와;
    (d) 상기 단계(c)의 기판을 약 150℃ 이상으로 가열하는 단계와;
    (e) 상기 플라티늄 전극층, 플라티늄 전극층상의 잔류 보호층 및 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 생산하기 위해, 그리고 플라티늄 전극층의 일부를 노출시키기 위해 보호층의 노출된 부분을 에칭하는 단계와;
    (f) 에칭된 플라티늄 전극층상의 잔류 보호층을 구비한 에칭된 플라티늄 전극층과, 잔류 보호층상의 잔류 마스크 층을 지지하는 기판을 생산하기 위해, 염소 및 아르곤을 구비하는 에칭제 가스의 고밀도 플라즈마로 단계(e)의 플라티늄 전극층의 노출된 부분을 에칭하는 단계로 구성되는 방법.
  60. 제 59 항에 있어서, 상기 에칭된 플라티늄 전극층이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  61. 제 59 항에 있어서, 상기 에칭된 플라티늄 전극 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  62. 제 60 항에 있어서, 상기 에칭된 플라티늄 전극 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  63. 제 59 항에 있어서, 상기 기판이 약 0.3㎛이하의 거리로 분리되는 2 이상의 에칭된 플라티늄 전극층을 지지하는 방법.
  64. 제 63 항에 있어서, 상기 에칭된 플라티늄 전극층들이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  65. 제 64 항에 있어서, 상기 에칭된 플라티늄 전극층들이 각각 약 0.3㎛이하의 값을 갖는 치수를 포함하는 방법.
  66. 제 65 항에 있어서, 상기 치수가 너비인 방법.
  67. 제 59 항에 있어서, 상기 에칭된 플라티늄 전극층이 베일-레스(veil-less)로 반드시 구성되는 방법.
  68. 기판상에 배치된 플라티늄 전극층을 에칭하는 방법에 있어서,
    (a) 플라티늄 전극층, 상기 플라티늄 전극층상의 보호층, 상기 보호층상의 마스크층 및, 마스크층상의 패턴형 리지스트층을 지지하는 기판을 제공하는 단계와;
    (b) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층, 보호층상의 잔류마스크층 및, 잔류 마스크층상의 패턴형 리지스트층을 지지하는 기판을 생산하기 위해, 그리고 보호층의 일부를 노출하기 위해 플라티늄 전극층으로 부터 마스크층의 일부를 제거하고, 극복하도록 에칭제 가스의 플라즈마로 마스크 층의 일부를 에칭하는 단계와;
    (c) 상기 플라티늄 전극층, 플라티늄 전극층상의 보호층 및, 보호층상의 잔류 마스크층 및 잔류 마스크층상의 패턴형 리지스트 층을 지지하는 기판을 생산하기 위해, 그리고 플라티늄 전극층의 일부를 노출하기 위해 보호층의 노출된 부분을 에칭하는 단계와;
    (d) 상기 플라티늄 전극층, 플라티늄 전극층상의 잔류 보호층 및, 잔류 보호층상의 잔류 마스크층을 지지하는 기판을 생산하기 위해 단계(c)의 잔류 마스크층으로 부터 패턴형 리지스트층을 제거하는 단계와;
    (e) 상기 단계(d)의 기판을 약 150℃ 이상으로 가열하는 단계와;
    (f) 상기 에칭된 플라티늄 전극층상의 잔류 보호층을 구비한 에칭된 플라티늄 전극층과, 잔류 보호층상의 잔류 마스크 층을 지지하는 기판을 생산하기 위해, 염소 및 아르곤을 구비하는 에칭제 가스의 고밀도 플라즈마로 단계(d)의 플라티늄 전극층의 노출된 부분을 에칭하는 단계로 구성되는 방법.
  69. 제 68 항에 있어서, 상기 에칭된 플라티늄 전극층이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  70. 제 68 항에 있어서, 상기 에칭된 플라티늄 전극 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  71. 제 69 항에 있어서, 상기 에칭된 플라티늄 전극 층이 약 0.3㎛이하의 치수를 포함하는 방법.
  72. 제 68 항에 있어서, 상기 기판이 약 0.3㎛이하의 거리로 분리되는 2 이상의 에칭된 플라티늄 전극층을 지지하는 방법.
  73. 제 72 항에 있어서, 상기 에칭된 플라티늄 전극층들이 약 85°이상의 플라티늄 프로화일을 구비하는 방법.
  74. 제 73 항에 있어서, 상기 에칭된 플라티늄 전극층들이 각각 약 0.3㎛이하의 값을 갖는 치수를 포함하는 방법.
  75. 제 74 항에 있어서, 상기 치수가 너비인 방법.
  76. 제 68 항에 있어서, 상기 귀금속 가스가 아르곤으로 구성되는 방법.
  77. 제 68 항에 있어서,상기 에칭제 가스가 BCl3및 HBr 및 이들의 혼합물로 구성되는 그룹으로 부터 선택된 가스를 추가로 구성하는 방법.
  78. 제 69 항에 있어서,상기 에칭제 가스가 BCl3및 HBr 및 이들의 혼합물로 구성되는 그룹으로 부터 선택된 가스를 추가로 구성하는 방법.
  79. 제 77 항에 있어서, 상기 귀금속 가스가 아르곤으로 구성되는 방법.
  80. 제 68 항에 있어서, 상기 에칭된 플라티늄 전극층이 베일-레스(veil-less)로 반드시 구성되는 방법.
  81. 기판과, 상기 기판에 의해서 지지되는 2 이상의 플라티늄 전극들로 구성되는 커패시턴스 구조로서, 상기 플라티늄 전극이 약 0.3㎛이하의 거리로 분리되며, 약 85°이상의 플라티늄 프로화일을 포함하는 커패시턴스 구조.
  82. 제 81 항에 있어서, 상기 플라티늄 전극들이 약 0.3㎛이하의 너비로 구성되는 커패시턴스 구조.
  83. 제 81 항에 있어서, 상기 플라티늄 전극들이 약 0.3㎛이하의 치수로 구성되는 커패시턴스 구조.
  84. 제 81 항에 있어서, 상기 플라티늄 전극들이 약 0.6㎛이하의 길이로 구성되는 커패시턴스 구조.
  85. 제 81 항에 있어서, 상기 플라티늄 전극들이 약 0.6㎛이하의 치수로 구성되는 커패시턴스 구조.
  86. 제 83 항에 있어서, 상기 플라티늄 전극들이 약 0.6㎛이하의 길이로 구성되는 커패시턴스 구조.
  87. 기판, 상기 기판에 의해서 지지되는 다수의 플라티늄 전극들로 구성되는 반도체 장치로서, 상기 플라티늄 전극이 약 85°이상의 플라티늄 프로화일을 포함하는 커패시턴스 반도체 장치.
  88. 제 87 항에 있어서, 상기 플라티늄 전극들이 약 0.3㎛이하의 치수로 구성되는 반도체 장치.
  89. 제 87 항에 있어서, 상기 플라티늄 전극들이 약 0.6㎛이하의 치수로 구성되는 반도체 장치.
  90. 제 88 항에 있어서, 상기 치수가 너비인 반도체 장치.
  91. Cl2의 체적이 약 55% 내지 65%로 구성되며, 아르곤의 체적이 약 35% 내지 45%로 반드시 구성되는 플라티늄을 에칭하는 에칭제 가스.
  92. 제 1 항에 있어서, 상기 에칭제 가스는 Cl2의 체적이 약 55% 내지 65%로 구성되며, 아르곤의 체적이 약 35% 내지 45%로 구성되는 방법.
  93. 제 51 항에 있어서, 상기 에칭제 가스는 Cl2의 체적이 약 50% 내지 60%로 구성되며, 아르곤의 체적이 약 35% 내지 45%로 구성되며, BCl3및/또는 HBr의 체적이 약 5% 내지 15%로 구성되는 방법.
  94. 제 78 항에 있어서, 상기 에칭제 가스는 Cl2의 체적이 약 50% 내지 60%로 구성되며, 아르곤의 체적이 약 35% 내지 45%로 구성되며, BCl3및/또는 HBr의 체적이 약 5% 내지 15%로 구성되는 방법.
KR1020007007740A 1998-01-13 1998-12-17 이방성 플라티늄 프로화일을 위한 에칭 방법 KR20010034127A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US609298A 1998-01-13 1998-01-13
US09/006,092 1998-01-13
PCT/US1998/026941 WO1999036956A1 (en) 1998-01-13 1998-12-17 Etching methods for anisotropic platinum profile

Publications (1)

Publication Number Publication Date
KR20010034127A true KR20010034127A (ko) 2001-04-25

Family

ID=21719265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007007740A KR20010034127A (ko) 1998-01-13 1998-12-17 이방성 플라티늄 프로화일을 위한 에칭 방법

Country Status (5)

Country Link
US (2) US6482745B1 (ko)
EP (1) EP1048064A1 (ko)
JP (1) JP2002510146A (ko)
KR (1) KR20010034127A (ko)
WO (1) WO1999036956A1 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
JP2003529914A (ja) * 1999-02-17 2003-10-07 アプライド マテリアルズ インコーポレイテッド 高密度ramキャパシタの電極をパターン化する改良マスキング法及びエッチング配列
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
JP2003257942A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100949004B1 (ko) * 2002-12-24 2010-03-23 동부일렉트로닉스 주식회사 Mim 구조의 커패시터 제조방법
JP2005064035A (ja) * 2003-08-12 2005-03-10 Fujio Masuoka 半導体装置のエッチング法
US6924199B2 (en) * 2003-11-21 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form flash memory with very narrow polysilicon spacing
US7521705B2 (en) 2005-08-15 2009-04-21 Micron Technology, Inc. Reproducible resistance variable insulating memory devices having a shaped bottom electrode
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
JP5694022B2 (ja) * 2011-03-22 2015-04-01 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI744003B (zh) * 2020-09-23 2021-10-21 力晶積成電子製造股份有限公司 鉑的圖案化方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
JPH0676664B2 (ja) 1986-12-09 1994-09-28 キヤノン株式会社 マイクロ波プラズマcvd法による機能性堆積膜の形成装置
JPS6429452A (en) 1987-07-23 1989-01-31 Nippon Steel Chemical Co Epoxy resin composition for sealing semiconductor
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
US5188704A (en) 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
DE3935189A1 (de) 1989-10-23 1991-05-08 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
JPH0590224A (ja) 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
JPH05274220A (ja) 1992-03-27 1993-10-22 Nec Corp メモリバンクビジー制御方式
US5232747A (en) 1992-07-27 1993-08-03 Radiant Technologies Platinum-aluminum connection system
US5258093A (en) 1992-12-21 1993-11-02 Motorola, Inc. Procss for fabricating a ferroelectric capacitor in a semiconductor device
JPH07130702A (ja) * 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3460347B2 (ja) 1994-03-30 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3122579B2 (ja) * 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5554564A (en) 1994-08-01 1996-09-10 Texas Instruments Incorporated Pre-oxidizing high-dielectric-constant material electrodes
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2953974B2 (ja) * 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
EP1202331A3 (en) 1995-02-28 2002-07-31 Micron Technology, Inc. Method for forming a structure using redeposition
KR100322695B1 (ko) * 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
KR100199094B1 (ko) * 1995-10-18 1999-06-15 구본준 반도체 소자의 커패시터 제조방법
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
JPH09251983A (ja) 1996-03-15 1997-09-22 Rohm Co Ltd ドライエッチング方法
JP3388089B2 (ja) * 1996-04-25 2003-03-17 シャープ株式会社 不揮発性半導体メモリ素子の製造方法
US5907791A (en) 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
JP2000514600A (ja) 1996-07-03 2000-10-31 ティーガル コーポレイション 半導体ウェーハーをエッチングするための方法及びその装置
DE19631622A1 (de) 1996-08-05 1998-02-12 Siemens Ag Verfahren zum plasmaunterstützten anisotropen Ätzen von Metallen, Metalloxiden und deren Gemische
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
TW365691B (en) * 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
EP0865079A3 (en) 1997-03-13 1999-10-20 Applied Materials, Inc. A method for removing redeposited veils from etched platinum surfaces
JPH10294528A (ja) 1997-04-21 1998-11-04 Seiko Epson Corp 面発光型半導体レーザの製造方法
DE19728473A1 (de) 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
JPH11111695A (ja) 1997-10-07 1999-04-23 Fujitsu Ltd 白金薄膜パターンの形成方法及び半導体装置の製造方法
JP2000133633A (ja) 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法

Also Published As

Publication number Publication date
EP1048064A1 (en) 2000-11-02
US6777342B2 (en) 2004-08-17
US20030064590A1 (en) 2003-04-03
WO1999036956A1 (en) 1999-07-22
JP2002510146A (ja) 2002-04-02
US6482745B1 (en) 2002-11-19

Similar Documents

Publication Publication Date Title
KR20010034127A (ko) 이방성 플라티늄 프로화일을 위한 에칭 방법
US6323132B1 (en) Etching methods for anisotropic platinum profile
US6277762B1 (en) Method for removing redeposited veils from etched platinum
US6919168B2 (en) Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
KR19980080224A (ko) 에칭되는 백금으로부터 재증착된 베일을 제거하기 위한 방법
US6265318B1 (en) Iridium etchant methods for anisotropic profile
US6368517B1 (en) Method for preventing corrosion of a dielectric material
US6436838B1 (en) Method of patterning lead zirconium titanate and barium strontium titanate
US6492280B1 (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
JP2003282844A (ja) ハードマスク及びCl2/N2/O2及びCl2/CHF3/O2の化学的性質を利用するIr及びPZTのプラズマエッチング
US6127277A (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
US6454956B1 (en) Structuring method
US6458648B1 (en) Method for in-situ removal of side walls in MOM capacitor formation
KR100756742B1 (ko) 고밀도 ram 커패시터의 전극을 패턴화하기 위한 개선된마스킹 방법 및 에칭 공정
Labelle et al. Metal stack etching using a helical resonator plasma
CA1148895A (en) Reactive sputter etching of silicon
KR20000022428A (ko) 반도체 웨이퍼를 식각하는 방법 및 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid