JP4860167B2 - ロードロック装置,処理システム及び処理方法 - Google Patents
ロードロック装置,処理システム及び処理方法 Download PDFInfo
- Publication number
- JP4860167B2 JP4860167B2 JP2005099499A JP2005099499A JP4860167B2 JP 4860167 B2 JP4860167 B2 JP 4860167B2 JP 2005099499 A JP2005099499 A JP 2005099499A JP 2005099499 A JP2005099499 A JP 2005099499A JP 4860167 B2 JP4860167 B2 JP 4860167B2
- Authority
- JP
- Japan
- Prior art keywords
- load lock
- substrate
- lock device
- loading
- carry
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Description
このロードロック装置において,前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備えても良い。この場合,前記下面加熱用プレートは,昇降可能であっても良い。
また,本発明によれば,処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備え,前記第一のロードロック装置は,前記第二のロードロック装置の上に設けられ,前記第一のロードロック装置の上面加熱用プレートは昇降可能であることを特徴とする,ロードロック装置が提供される。
このロードロック装置において,前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備えても良い。この場合,前記下面冷却用プレートは,昇降可能であっても良い。
かかる構成によれば,上面加熱用プレート及び下面加熱用プレートによって基板を両面から加熱することで,基板を効率的に加熱でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。また,上面冷却用プレート及び下面冷却用プレートによって基板を両面から冷却することで,基板を効率的に冷却でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。
1 処理システム
2 搬入出部
3 処理部
5 ロードロック装置
21 第一のロードロック装置
22 第二のロードロック装置
30A〜30E 基板処理装置
31 搬送装置
61 ロードロック室
63 搬入口
64 搬出口
71 上面加熱用プレート
72 下面加熱用プレート
75 シリンダ
78 支持部材
85 ガス供給路
86 排気路
102 ロードロック室
103 搬入口
104 搬出口
110 支持部材
111 上面冷却用プレート
112 下面冷却用プレート
125 シリンダ
131 ガス供給路
132 排気路
Claims (10)
- 処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,
前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備え,
前記第二のロードロック装置は,前記第一のロードロック装置の上に設けられ,
前記第二のロードロック装置の上面冷却用プレートは昇降可能であることを特徴とする,ロードロック装置。 - 前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備えることを特徴とする請求項1に記載のロードロック装置。
- 前記下面加熱用プレートは,昇降可能であることを特徴とする請求項2に記載のロードロック装置。
- 処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,
前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備え,
前記第一のロードロック装置は,前記第二のロードロック装置の上に設けられ,
前記第一のロードロック装置の上面加熱用プレートは昇降可能であることを特徴とする,ロードロック装置。 - 前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備えることを特徴とする請求項4に記載のロードロック装置。
- 前記下面冷却用プレートは,昇降可能であることを特徴とする請求項5に記載のロードロック装置。
- 前記第一のロードロック装置および前記第二のロードロック装置において,前記基板は支持部材によって水平に支持されることを特徴とする,請求項1〜6のいずれかに記載のロードロック装置。
- 基板を処理する1又は2以上の基板処理装置と,
請求項1〜7のいずれかに記載のロードロック装置と,
前記基板処理装置とロードロック装置との間で基板を搬送する搬送装置とを備えたことを特徴とする,処理システム。 - 基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,
前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの下部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,
前記第一のロードロック装置の処理部側に設けた搬出口を閉じたまま,前記第一のロードロック装置の搬入出部側に設けた搬入口を開き,
前記第一のロードロック装置の搬入口を通じて第一のロードロック装置内に基板を搬入し,
第一のロードロック装置内に備えた上面加熱用プレート及び下面加熱用プレートの間に収納し, 前記第一のロードロック装置の搬入口を閉じ,
前記上面加熱用プレートを下降させ,
前記第一のロードロック装置内に収納された基板を,前記上面加熱用プレート及び下面加熱用プレートによって両面から加熱し,
前記第一のロードロック装置の搬入口を閉じたまま前記第一のロードロック装置の搬出口を開き,前記上面加熱用プレートを上昇させ,前記第一のロードロック装置の搬出口を通じて処理部に基板を搬入することを特徴とする,処理方法。 - 基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの上部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,
前記処理部から前記搬入出部に基板を搬送する際に,前記第二のロードロック装置の搬入出部側に設けた搬出口を閉じたまま,前記第二のロードロック装置の処理部側に設けた搬入口を開き,
前記第二のロードロック装置の搬入口を通じて第二のロードロック装置内に基板を搬入し,第二のロードロック装置内に備えた上面冷却用プレート及び下面冷却用プレートの間に収納し,前記第二のロードロック装置の搬入口を閉じ,
前記上面冷却用プレートを下降させ,
前記第二のロードロック装置内に収納された基板を,前記上面冷却用プレート及び下面冷却用プレートによって両面から冷却し,
前記第二のロードロック装置の搬入口を閉じたまま前記第二のロードロック装置の搬出口を開き,前記上面冷却用プレートを上昇させ,前記第二のロードロック装置の搬出口を通じて搬入出部に基板を搬出することを特徴とする,処理方法。
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005099499A JP4860167B2 (ja) | 2005-03-30 | 2005-03-30 | ロードロック装置,処理システム及び処理方法 |
TW094143190A TW200634973A (en) | 2005-03-30 | 2005-12-07 | Load lock apparatus, load lock section, substrate processing system and substrate processing method |
US11/390,259 US20060245852A1 (en) | 2005-03-30 | 2006-03-28 | Load lock apparatus, load lock section, substrate processing system and substrate processing method |
CNB2006100659565A CN100426454C (zh) | 2005-03-30 | 2006-03-29 | 负载锁定装置、处理系统及处理方法 |
KR1020060028384A KR100802671B1 (ko) | 2005-03-30 | 2006-03-29 | 기판 처리 방법 |
KR1020070105804A KR100854142B1 (ko) | 2005-03-30 | 2007-10-19 | 로드 로크 장치 및 기판 처리 시스템 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005099499A JP4860167B2 (ja) | 2005-03-30 | 2005-03-30 | ロードロック装置,処理システム及び処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2006273563A JP2006273563A (ja) | 2006-10-12 |
JP4860167B2 true JP4860167B2 (ja) | 2012-01-25 |
Family
ID=37030597
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005099499A Expired - Fee Related JP4860167B2 (ja) | 2005-03-30 | 2005-03-30 | ロードロック装置,処理システム及び処理方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20060245852A1 (ja) |
JP (1) | JP4860167B2 (ja) |
KR (2) | KR100802671B1 (ja) |
CN (1) | CN100426454C (ja) |
TW (1) | TW200634973A (ja) |
Families Citing this family (117)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3910791B2 (ja) * | 2000-09-19 | 2007-04-25 | 東京エレクトロン株式会社 | 基板の熱処理方法及び基板の熱処理装置 |
US7431585B2 (en) * | 2002-01-24 | 2008-10-07 | Applied Materials, Inc. | Apparatus and method for heating substrates |
US7467916B2 (en) * | 2005-03-08 | 2008-12-23 | Asm Japan K.K. | Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same |
JP4519037B2 (ja) * | 2005-08-31 | 2010-08-04 | 東京エレクトロン株式会社 | 加熱装置及び塗布、現像装置 |
US7845891B2 (en) * | 2006-01-13 | 2010-12-07 | Applied Materials, Inc. | Decoupled chamber body |
US7695232B2 (en) * | 2006-06-15 | 2010-04-13 | Applied Materials, Inc. | Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same |
TWI455861B (zh) * | 2007-05-18 | 2014-10-11 | Brooks Automation Inc | 基板加工工具、半導體加工工具、及基板加工裝置 |
KR101522324B1 (ko) | 2007-05-18 | 2015-05-21 | 브룩스 오토메이션 인코퍼레이티드 | 로드 락 빠른 펌프 벤트 |
US10541157B2 (en) | 2007-05-18 | 2020-01-21 | Brooks Automation, Inc. | Load lock fast pump vent |
JPWO2009028595A1 (ja) * | 2007-08-31 | 2010-12-02 | キヤノンアネルバ株式会社 | 基板処理装置 |
US8033769B2 (en) | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
JP5108557B2 (ja) * | 2008-02-27 | 2012-12-26 | 東京エレクトロン株式会社 | ロードロック装置および基板冷却方法 |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
US20100014945A1 (en) * | 2008-07-16 | 2010-01-21 | Asm Japan K.K. | Semiconductor processing apparatus having all-round type wafer handling chamber |
JP5000627B2 (ja) * | 2008-11-27 | 2012-08-15 | 東京エレクトロン株式会社 | 基板処理システム |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
CN102859667A (zh) * | 2010-04-30 | 2013-01-02 | 泰拉半导体株式会社 | 基板处理装置 |
JP5503006B2 (ja) * | 2010-08-06 | 2014-05-28 | 東京エレクトロン株式会社 | 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
WO2012098871A1 (ja) * | 2011-01-20 | 2012-07-26 | 東京エレクトロン株式会社 | 真空処理装置 |
US11171008B2 (en) * | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
KR101895307B1 (ko) * | 2011-03-01 | 2018-10-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버 |
JP6054314B2 (ja) | 2011-03-01 | 2016-12-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板搬送及びラジカル閉じ込めのための方法及び装置 |
JP5883232B2 (ja) * | 2011-03-26 | 2016-03-09 | 東京エレクトロン株式会社 | 基板処理装置 |
US20120285621A1 (en) * | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
JP2012250230A (ja) * | 2011-06-02 | 2012-12-20 | Tokyo Ohka Kogyo Co Ltd | 加熱装置、塗布装置及び加熱方法 |
KR101680950B1 (ko) * | 2012-02-16 | 2016-11-29 | 쌩-고벵 글래스 프랑스 | 코팅된 기판을 처리하기 위한 처리 박스, 장치 및 방법 |
KR102068186B1 (ko) | 2012-02-29 | 2020-02-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 로드 록 구성의 저감 및 스트립 프로세스 챔버 |
JP5959914B2 (ja) * | 2012-04-18 | 2016-08-02 | 東京エレクトロン株式会社 | 基板処理システム、基板搬送方法および記憶媒体 |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
WO2014143846A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc | Multi-position batch load lock apparatus and systems and methods including same |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP2015088694A (ja) * | 2013-11-01 | 2015-05-07 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
KR20160041175A (ko) * | 2014-10-06 | 2016-04-18 | 삼성디스플레이 주식회사 | 박막 트랜지스터 기판의 제조 방법 및 이의 제조 장치 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10014196B2 (en) | 2015-10-20 | 2018-07-03 | Lam Research Corporation | Wafer transport assembly with integrated buffers |
US10304707B2 (en) * | 2015-10-20 | 2019-05-28 | Lam Research Corporation | Load lock interface and integrated post-processing module |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6719993B2 (ja) * | 2016-06-30 | 2020-07-08 | 株式会社Screenホールディングス | 熱処理方法および熱処理装置 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP6836959B2 (ja) * | 2017-05-16 | 2021-03-03 | 東京エレクトロン株式会社 | プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
WO2019078989A1 (en) * | 2017-10-16 | 2019-04-25 | Applied Materials, Inc. | HEATED SUPPORT BASE AT HIGH TEMPERATURE IN DOUBLE LOAD LOCKOUT CONFIGURATION |
CN111213227B (zh) * | 2017-10-19 | 2023-10-13 | 瑞士艾发科技 | 用于处理衬底的方法和设备 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10720348B2 (en) * | 2018-05-18 | 2020-07-21 | Applied Materials, Inc. | Dual load lock chamber |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
KR20210022068A (ko) | 2018-07-17 | 2021-03-02 | 에이에스엠엘 네델란즈 비.브이. | 입자 빔 검사 장치 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US20200126826A1 (en) * | 2018-10-18 | 2020-04-23 | Applied Materials, Inc. | Load lock body portions, load lock apparatus, and methods for manufacturing the same |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20200350188A1 (en) * | 2019-05-02 | 2020-11-05 | Intevac, Inc. | Inline vacuum processing system with substrate and carrier cooling |
JP7280132B2 (ja) * | 2019-07-12 | 2023-05-23 | 株式会社アルバック | 真空チャンバ及び基板処理装置 |
WO2021016115A1 (en) * | 2019-07-19 | 2021-01-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
US11177048B2 (en) * | 2019-11-20 | 2021-11-16 | Applied Materials Israel Ltd. | Method and system for evaluating objects |
WO2021192001A1 (ja) * | 2020-03-24 | 2021-09-30 | 株式会社日立ハイテク | 真空処理装置 |
KR102541982B1 (ko) * | 2022-04-14 | 2023-06-13 | 주성엔지니어링(주) | 기판처리시스템 및 기판처리방법 |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR920009371B1 (ko) * | 1990-05-21 | 1992-10-15 | 재단법인 한국전자통신연구소 | 양면 가열형 급속열처리 장치 |
US5199483A (en) * | 1991-05-15 | 1993-04-06 | Applied Materials, Inc. | Method and apparatus for cooling wafers |
JPH05160046A (ja) * | 1991-12-05 | 1993-06-25 | Kokusai Electric Co Ltd | 基板加熱方法及びその装置 |
US5607009A (en) * | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3380988B2 (ja) * | 1993-04-21 | 2003-02-24 | 東京エレクトロン株式会社 | 熱処理装置 |
JP3288200B2 (ja) * | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
JP3816929B2 (ja) * | 1995-12-12 | 2006-08-30 | 東京エレクトロン株式会社 | 半導体処理装置 |
JPH09171953A (ja) | 1995-12-20 | 1997-06-30 | Sony Corp | 基板加熱装置、基板加熱方法および半導体集積回路装置、フォトマスクならびに液晶表示装置 |
JPH10233423A (ja) * | 1997-02-21 | 1998-09-02 | Nikon Corp | ロードロック式真空処理装置 |
US5944857A (en) * | 1997-05-08 | 1999-08-31 | Tokyo Electron Limited | Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
US6688375B1 (en) * | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
US6270582B1 (en) * | 1997-12-15 | 2001-08-07 | Applied Materials, Inc | Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system |
US6086362A (en) * | 1998-05-20 | 2000-07-11 | Applied Komatsu Technology, Inc. | Multi-function chamber for a substrate processing system |
US6375746B1 (en) * | 1998-07-10 | 2002-04-23 | Novellus Systems, Inc. | Wafer processing architecture including load locks |
TW418429B (en) * | 1998-11-09 | 2001-01-11 | Tokyo Electron Ltd | Processing apparatus |
JP2000299367A (ja) * | 1999-04-15 | 2000-10-24 | Tokyo Electron Ltd | 処理装置及び被処理体の搬送方法 |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
US6949143B1 (en) * | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
WO2001072094A1 (en) * | 2000-03-20 | 2001-09-27 | Tokyo Electron Limited | High speed photoresist stripping chamber |
KR200206347Y1 (ko) | 2000-07-24 | 2000-12-01 | 아남반도체주식회사 | 급속열처리시스템에서 반도체 웨이퍼의 양면냉각장치 |
KR20070037517A (ko) * | 2000-09-15 | 2007-04-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 처리 장비용 더블 이중 슬롯 로드록 |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
KR20040090529A (ko) * | 2003-04-17 | 2004-10-26 | 주식회사 제일 | 기판처리장치 |
JP3609077B1 (ja) * | 2003-07-09 | 2005-01-12 | 東京エレクトロン株式会社 | 高圧熱処理装置 |
US7207766B2 (en) * | 2003-10-20 | 2007-04-24 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
EP1684951B1 (en) * | 2003-11-10 | 2014-05-07 | Brooks Automation, Inc. | System for handling workpieces in a vacuum-based semiconductor handling system |
US7665951B2 (en) * | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
-
2005
- 2005-03-30 JP JP2005099499A patent/JP4860167B2/ja not_active Expired - Fee Related
- 2005-12-07 TW TW094143190A patent/TW200634973A/zh unknown
-
2006
- 2006-03-28 US US11/390,259 patent/US20060245852A1/en not_active Abandoned
- 2006-03-29 KR KR1020060028384A patent/KR100802671B1/ko not_active IP Right Cessation
- 2006-03-29 CN CNB2006100659565A patent/CN100426454C/zh not_active Expired - Fee Related
-
2007
- 2007-10-19 KR KR1020070105804A patent/KR100854142B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
KR20060106751A (ko) | 2006-10-12 |
JP2006273563A (ja) | 2006-10-12 |
TW200634973A (en) | 2006-10-01 |
KR100854142B1 (ko) | 2008-08-26 |
US20060245852A1 (en) | 2006-11-02 |
KR20070112348A (ko) | 2007-11-23 |
CN100426454C (zh) | 2008-10-15 |
KR100802671B1 (ko) | 2008-02-12 |
CN1841652A (zh) | 2006-10-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4860167B2 (ja) | ロードロック装置,処理システム及び処理方法 | |
JP4619854B2 (ja) | ロードロック装置及び処理方法 | |
JP4409756B2 (ja) | デュアル基板ロードロック・プロセス装置 | |
JP4642619B2 (ja) | 基板処理システム及び方法 | |
JP4784599B2 (ja) | 真空処理装置及び真空処理方法並びに記憶媒体 | |
JP4916140B2 (ja) | 真空処理システム | |
KR20080084742A (ko) | 기판 처리 장치, 기판 처리 방법 및 기록 매체 | |
KR101227809B1 (ko) | 기판 배치대의 강온 방법, 컴퓨터 판독 가능한 기억 매체, 및 기판 처리 시스템 | |
US20080223400A1 (en) | Substrate processing apparatus, substrate processing method and storage medium | |
JP4927623B2 (ja) | ロードロック装置の昇圧方法 | |
WO2017209881A1 (en) | Dodecadon transfer chamber and processing system having the same | |
KR101898340B1 (ko) | 로드록 장치에 있어서의 기판 냉각 방법, 기판 반송 방법, 및 로드록 장치 | |
JP4885023B2 (ja) | ロードロック装置および基板の処理システム | |
JP2005277049A (ja) | 熱処理システム及び熱処理方法 | |
JP2002100574A (ja) | 基板処理装置 | |
JP2002173775A (ja) | 半導体製造装置および半導体装置の製造方法 | |
JPH11345771A (ja) | 枚葉式真空処理方法及び装置 | |
WO2004057656A1 (ja) | 基板処理装置および半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080314 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20101216 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20101221 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110221 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111004 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111012 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20111101 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20111102 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20141111 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |