JP4860167B2 - ロードロック装置,処理システム及び処理方法 - Google Patents

ロードロック装置,処理システム及び処理方法 Download PDF

Info

Publication number
JP4860167B2
JP4860167B2 JP2005099499A JP2005099499A JP4860167B2 JP 4860167 B2 JP4860167 B2 JP 4860167B2 JP 2005099499 A JP2005099499 A JP 2005099499A JP 2005099499 A JP2005099499 A JP 2005099499A JP 4860167 B2 JP4860167 B2 JP 4860167B2
Authority
JP
Japan
Prior art keywords
load lock
substrate
lock device
loading
carry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005099499A
Other languages
English (en)
Other versions
JP2006273563A (ja
Inventor
勝彦 岩渕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005099499A priority Critical patent/JP4860167B2/ja
Priority to TW094143190A priority patent/TW200634973A/zh
Priority to US11/390,259 priority patent/US20060245852A1/en
Priority to CNB2006100659565A priority patent/CN100426454C/zh
Priority to KR1020060028384A priority patent/KR100802671B1/ko
Publication of JP2006273563A publication Critical patent/JP2006273563A/ja
Priority to KR1020070105804A priority patent/KR100854142B1/ko
Application granted granted Critical
Publication of JP4860167B2 publication Critical patent/JP4860167B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は,ロードロック装置,そのロードロック装置とCVD装置等の基板処理装置とを備えた処理システム,及び,その処理システムにおける基板の処理方法に関する。
例えばLCD基板等の製造工程においては,減圧雰囲気下で基板に成膜,エッチング,アッシング等の所定の処理を施す基板処理装置を複数備えた,いわゆるマルチチャンバー型の処理システムが使用されている(例えば,特許文献1参照)。このような処理システムには,基板を搬送する基板搬送装置を備えた搬送室と,その周囲に設けられた複数の基板処理装置とを有する処理部が備えられている。そして,基板搬送装置の搬送アームにより,基板が各基板処理装置に対して搬入出されるようになっている。
さらに,この処理システムには,カセットステーション等を備えた搬入出部,及び,搬入出部と処理部との間に設けられたロードロック装置が備えられている。ロードロック装置は,処理部内を真空に保持し,大気圧となっている搬入出部側に開放させないことを目的に設けられるものであり,例えば搬送室に隣接させて配置される。かかる構成において,搬入出部に搬送された基板は,先ずロードロック装置の搬入出部側に設けた搬入出口を通じて,ロードロック装置内に収納される。そして,ロードロック装置内が減圧され真空になった後,ロードロック装置の処理部側に設けた搬入出口が開かれて搬送室に連通させられると,基板搬送装置の搬送アームにより,基板がロードロック装置から搬出され,各基板処理装置に搬送される。また,各基板処理装置で処理された基板は,基板搬送装置の搬送アームにより取り出され,ロードロック装置の搬入出部側の搬入出口を通じて,ロードロック装置内に収納される。そして,ロードロック装置内が加圧され大気圧に戻ると,ロードロック装置の搬入出部側の搬入出口が開かれて,基板が搬入出部に戻されるようになっている。
かかるロードロック装置としては,ロードロック装置内で基板を予備加熱するためのヒータを備えたものが知られている(例えば,特許文献2参照。)。また,加熱用プレートと冷却用プレートとを備え,基板を搬入出部から処理部に搬入するときは,加熱用プレートによって基板を加熱し,基板を処理部から搬入出部に搬出するときは,冷却用プレートによって基板を冷却できるようにしたものが提案されている(例えば,特許文献1参照)。
特表2004−523880号公報 特開2001−239144号公報
しかしながら,従来のロードロック装置にあっては,基板を効率的に加熱又は冷却することが難しく,より効率的な加熱又は冷却手段が望まれていた。また,基板が熱応力の影響により反り返ってしまうことがあった。その場合,基板に割れが生じる,搬送時に搬送アーム等での保持が不安定になる,カセットに好適に収納されないなどの不都合が懸念されていた。
本発明の目的は,基板を好適に加熱又は冷却できるロードロック装置,該ロードロック装置を備えた処理システム,及び,該ロードロック装置を用いた処理方法を提供することにある。
上記課題を解決するため,本発明によれば,処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備え,前記第二のロードロック装置は,前記第一のロードロック装置の上に設けられ,前記第二のロードロック装置の上面冷却用プレートは昇降可能であることを特徴とする,ロードロック装置が提供される。
このロードロック装置において,前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備えても良い。この場合,前記下面加熱用プレートは,昇降可能であっても良い。
また,本発明によれば,処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備え,前記第一のロードロック装置は,前記第二のロードロック装置の上に設けられ,前記第一のロードロック装置の上面加熱用プレートは昇降可能であることを特徴とする,ロードロック装置が提供される。
このロードロック装置において,前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備えても良い。この場合,前記下面冷却用プレートは,昇降可能であっても良い。
かかる構成によれば,上面加熱用プレート及び下面加熱用プレートによって基板を両面から加熱することで,基板を効率的に加熱でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。また,上面冷却用プレート及び下面冷却用プレートによって基板を両面から冷却することで,基板を効率的に冷却でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。
前記第一のロードロック装置および前記第二のロードロック装置において,前記基板は支持部材によって水平に支持されるとしても良い。
また,本発明によれば,基板を処理する1又は2以上の基板処理装置と,上記のロードロック装置と,前記基板処理装置とロードロック装置との間で基板を搬送する搬送装置とを備えたことを特徴とする,処理システムが提供される。
さらにまた,本発明によれば,基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの下部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,前記第一のロードロック装置の処理部側に設けた搬出口を閉じたまま,前記第一のロードロック装置の搬入出部側に設けた搬入口を開き,前記第一のロードロック装置の搬入口を通じて第一のロードロック装置内に基板を搬入し,第一のロードロック装置内に備えた上面加熱用プレート及び下面加熱用プレートの間に収納し,前記第一のロードロック装置の搬入口を閉じ,前記上面加熱用プレートを下降させ,前記第一のロードロック装置内に収納された基板を,前記上面加熱用プレート及び下面加熱用プレートによって両面から加熱し,前記第一のロードロック装置の搬入口を閉じたまま前記第一のロードロック装置の搬出口を開き,前記上面加熱用プレートを上昇させ,前記第一のロードロック装置の搬出口を通じて処理部に基板を搬入することを特徴とする,処理方法が提供される。
この処理方法にあっては,前記第二のロードロック装置の搬入出部側に設けた搬出口を閉じたまま,前記第二のロードロック装置の処理部側に設けた搬入口を開き,前記第二のロードロック装置の搬入口を通じて第二のロードロック装置内に基板を搬入し,第二のロードロック装置内に備えた第一の冷却用プレート及び第二の冷却用プレートの間に収納し,前記第二のロードロック装置の搬入口を閉じ,前記第二のロードロック装置内に収納された基板を,前記第一の冷却用プレート及び第二の冷却用プレートによって両面から冷却し,前記第二のロードロック装置の搬入口を閉じたまま前記第二のロードロック装置の搬出口を開き,前記第二のロードロック装置の搬出口を通じて搬入出部に基板を搬出することとしても良い。
さらに,前記処理部は前記搬入出部よりも減圧されており,前記第一のロードロック装置に基板を搬入した後,前記第一のロードロック装置の搬入口を閉じ,前記第一のロードロック装置内を密閉状態とし,前記第一のロードロック装置内を所定の圧力まで減圧してから,前記第一のロードロック装置の搬出口を開き,前記第一のロードロック装置から処理部に基板を搬出することとしても良い。
また,本発明によれば,基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの上部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,前記処理部から前記搬入出部に基板を搬送する際に,前記第二のロードロック装置の搬入出部側に設けた搬出口を閉じたまま,前記第二のロードロック装置の処理部側に設けた搬入口を開き,前記第二のロードロック装置の搬入口を通じて第二のロードロック装置内に基板を搬入し,第二のロードロック装置内に備えた上面冷却用プレート及び下面冷却用プレートの間に収納し,前記第二のロードロック装置の搬入口を閉じ,前記上面冷却用プレートを下降させ,前記第二のロードロック装置内に収納された基板を,前記上面冷却用プレート及び下面冷却用プレートによって両面から冷却し,前記第二のロードロック装置の搬入口を閉じたまま前記第二のロードロック装置の搬出口を開き,前記上面冷却用プレートを上昇させ,前記第二のロードロック装置の搬出口を通じて搬入出部に基板を搬出することを特徴とする,処理方法が提供される。
前記処理部は前記搬入出部より減圧されており,前記第二のロードロック装置に基板を搬入した後,前記第二のロードロック装置の搬入口を閉じ,前記第二のロードロック装置内を密閉状態とし,前記第二のロードロック装置内を所定の圧力まで加圧してから,前記第二のロードロック装置の搬出口を開き,前記第二のロードロック装置から搬入出部に基板を搬出することとしても良い。
本発明によれば,上面加熱用プレート及び下面加熱用プレートによって基板を両面から加熱することで,基板を効率的に加熱でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。また,上面冷却用プレート及び下面冷却用プレートによって基板を両面から冷却することで,基板を効率的に冷却でき,さらに,両面の温度差が抑制されるので,基板の変形を防止できる。基板の加熱又は冷却効率を向上させることで,スループットの向上を図ることができる。
以下,本発明の第一の実施の形態を,基板の一例としてのLCD(Liquid Crystal Display;液晶表示装置)用のガラス基板Gに対して,プラズマCVD(Chemical Vapor Deposition)処理により薄膜を成膜する工程を実施する処理システムに基づいて説明する。図1は,本発明の実施の形態にかかる処理システム1の概略的な構成を示した平面図である。図1に示す処理システム1は,いわゆるマルチチャンバー型の処理システムであり,処理システム1の外部に対して基板Gを搬入出させ,また,処理部3に対して基板Gを搬入出させるための搬入出部2と,CVD処理を行う処理部3とを備えている。搬入出部2と処理部3との間には,ロードロック装置5が設置されている。
搬入出部2には,複数枚の基板Gを収納したカセットCを載置する載置台11と,基板Gを搬送する第一の搬送装置12とが設けられている。載置台11上には,図1において略水平方向のX軸方向に沿って,複数のカセットCが並べられる。図2に示すように,載置台11上のカセットC内には,略長方形の薄板状の基板Gが,略水平な姿勢で複数枚上下に並べて収納されている。
搬送装置12は,水平方向のY軸方向において載置台11の後方(図1においては右方)に備えられている。また,搬送装置12は,X軸方向に沿って延設されたレール13と,レール13に沿って水平方向に移動可能な搬送機構14とを備えている。搬送機構14は,一枚の基板Gを略水平に保持する搬送アーム15を備えており,搬送アーム15は,Z軸方向(鉛直方向)に屈伸及び略水平面内で旋回可能に構成されている。即ち,載置台11上の各カセットCの正面に設けられた開口16に搬送アーム15をアクセスさせて,基板Gを一枚ずつ取り出したり収納したりすることができる構成になっている。また,搬送装置12を挟んで載置台11と対向する側(Y軸方向において搬送装置12の後方)に設けられたロードロック装置5に対して,搬送アーム15をアクセスさせ,基板Gを一枚ずつ搬入及び搬出させることができる。
図2に示すように,ロードロック装置5は,一対のロードロック装置,即ち,第一のロードロック装置21及び第二のロードロック装置22によって構成されている。第一のロードロック装置21と第二のロードロック装置22は,上下に積み重ねて備えられており,図示の例では,第一のロードロック装置21の上に第二のロードロック装置22が設けられている。また,Y軸方向においてロードロック装置21の前側(図2においては左側)には,後述するロードロック装置21の搬入口63を開閉するゲートバルブ25が設けられており,Y軸方向においてロードロック装置21の後側には,後述するロードロック装置21の搬出口64を開閉するゲートバルブ26が設けられている。Y軸方向においてロードロック装置22の後側には,後述するロードロック装置22の搬入口103を開閉するゲートバルブ27が設けられており,Y軸方向においてロードロック装置22の前側には,後述するロードロック装置22の搬出口104を開閉するゲートバルブ28が設けられている。かかる構成において,各ゲートバルブ25,28を閉じることにより,搬入出部2の雰囲気とロードロック装置21,22内の雰囲気とをそれぞれ遮断できるようになっている。また,各ゲートバルブ26,27を閉じることにより,処理部3の雰囲気とロードロック装置21,22内の雰囲気とをそれぞれ遮断できるようになっている。なお,基板Gは,搬入出部2から下段のロードロック装置21を介して処理部3に搬入され,処理部3で処理された後は,上段のロードロック装置22を介して搬入出部2に搬出される。このようにすると,処理後の基板Gにパーティクルが付着することを防止できる。各ロードロック装置21,22の構造については,後に詳細に説明する。
図1に示すように,処理部3には,基板Gを収納してプラズマCVD処理を施す複数,例えば5つの基板処理装置30A〜30E,及び,ロードロック装置5と各基板処理装置30A〜30Eとの間で基板Gを搬送する第二の搬送装置31が備えられている。第二の搬送装置31は,密閉構造のチャンバ32内に設けられた搬送室33に格納されている。チャンバ32は,Y軸方向においてロードロック装置5の後方に設けられている。また,ロードロック装置5,及び,基板処理装置30A〜30Eは,チャンバ32の周囲を囲むように配置されている。
搬送室33とロードロック装置21,22の間には,前述したゲートバルブ26,27がそれぞれ設けられており,各ゲートバルブ26,27によって搬送室33内の雰囲気とロードロック装置21,22内の雰囲気とをそれぞれ遮断できるようになっている。搬送室33と各基板処理装置30A〜30Eとの間には,それぞれゲートバルブ35が設けられており,各ゲートバルブ35によって基板処理装置30A〜30Eの開口を気密に閉塞し,搬送室33内の雰囲気と各基板処理装置30A〜30E内の雰囲気とをそれぞれ遮断できるようになっている。また,図2に示すように,搬送室33内を強制排気して減圧させるための排気路36が設けられている。処理システム1における処理時,処理部3の搬送室33,基板処理装置30A〜30E内は,搬入出部2よりも減圧雰囲気にされ,例えば真空状態にされる。
第二の搬送装置31は,例えば多関節の搬送アーム51を備えている。搬送アーム51は,一枚の基板Gを略水平に保持することができ,Z軸方向に屈伸及び略水平面内で旋回可能に構成されている。即ち,各ロードロック装置21,22,基板処理装置30A〜30Eに,各ゲートバルブ26,27,35を介して搬送アーム51をアクセスさせて,基板Gを一枚ずつ搬入及び搬出させることができるように構成されている。
次に,前述したロードロック装置21の構成について詳細に説明する。図3に示すように,ロードロック装置21は,密閉構造のチャンバ61を備えている。チャンバ61の内部は,基板Gを収納するロードロック室62となっている。
チャンバ61の搬入出部2側,即ち,Y軸方向において前側には,ロードロック室62に基板Gを搬入するための搬入口63が設けられている。搬入口63には,前述したゲートバルブ25が設けられており,ゲートバルブ25によって気密に閉塞可能になっている。チャンバ61の処理部3側,即ち,Y軸方向において後側には,ロードロック室62から基板Gを搬出するための搬出口64が設けられている。搬出口64には,前述したゲートバルブ26が設けられており,ゲートバルブ26によって気密に閉塞可能になっている。
ロードロック室62内には,基板Gを支持する複数の保持部材70が備えられている。各保持部材70は略棒状をなし,チャンバ61の底部から上方に突出するように設けられており,各保持部材70の上端部に基板Gの下面を載せることにより,基板Gを略水平に支持するようになっている。
さらに,ロードロック室62内には,保持部材70に支持された基板Gを加熱する第一の加熱用プレートとしての上面加熱用プレート71,及び,第二の加熱用プレートとしての下面加熱用プレート72が備えられている。上面加熱用プレート71と下面加熱用プレート72は,それぞれ交流電源73に接続されており,交流電源73から供給される電力によってそれぞれ昇温されるようになっている。
上面加熱用プレート71は,厚みを有する略長方形板状をなし,チャンバ61の天井に沿って略水平に備えられており,保持部材70に支持された基板Gの上面(例えばデバイスが形成される表面)側に配置され,チャンバ61に対して固定されている。また,保持部材70に支持された基板Gの上面に対して略平行な姿勢で対向するようになっている。なお,上面加熱用プレート71の下面の面積は基板Gの上面の面積より大きく,基板Gの上面全体を覆うようにして加熱することができる。
下面加熱用プレート72は,厚みを有する略長方形板状をなし,チャンバ61の底面に沿って略水平に備えられており,保持部材70に支持された基板Gの下面(例えばデバイスが形成されない裏面)側に配置される。前述した保持部材70は,下面加熱用プレート72に形成された複数の孔74内にそれぞれ配置されている。下面加熱用プレート72は,保持部材70によって保持された基板Gの下面に対して略平行な姿勢で対向する。
また,下面加熱用プレート72は上下に昇降可能に構成されており,上面加熱用プレート71に対して近接及び離隔することができる。例えば図3に示すように,チャンバ61の下方に昇降機構としてのシリンダ75が設けられており,シリンダ75に接続されたロット76が,チャンバ61の底部を上下に貫通するように設けられている。下面加熱用プレート72は,ロット76の下端部に取り付けられている。そして,シリンダ75の駆動によって,ロット76がZ軸方向に昇降することにより,下面加熱用プレート72がロット76と一体的に,各孔74をそれぞれ保持部材70に沿って移動させながら昇降するようになっている。
さらに,下面加熱用プレート72の上面には,加熱時に基板Gを支持するための複数の支持部材78が設けられている。下面加熱用プレート72を待機位置P1に下降させたとき,支持部材78は,保持部材70の上端部より下方に位置する。そのため,保持部材70に基板Gが保持されていても,支持部材78は基板Gに接触しないようになっている。一方,下面加熱用プレート72を待機位置P1から上昇させることにより,支持部材78を保持部材70の上端部より上方に移動させることができる。即ち,保持部材70に保持された基板Gを,支持部材78によって持ち上げ,支持部材78によって基板Gを支持する状態にすることができる。支持部材78は,各支持部材78の上端部に基板Gの下面を載せることにより,基板Gを略水平に支持するようになっている。支持部材78に支持された基板Gの下面と下面加熱用プレート72の上面との間には,略均一な幅の隙間が形成され,基板Gと下面加熱用プレート72が互いに近接配置されるように構成されている。基板Gの加熱時,下面加熱用プレート72は,加熱処理位置P2に上昇させられ,この状態では,複数の支持部材78によって基板Gが支持されるようになっており,また,支持部材78に支持された基板Gと前述した上面加熱用プレート71が近接し,支持部材78に支持された基板Gの上面と前述した上面加熱用プレート71の下面との間に,略均一な幅の隙間が形成されるようになっている。即ち,上面加熱用プレート71と下面加熱用プレート72は,両者の間に収納された基板Gに対して,それぞれ相対的に近接及び離隔可能な構成となっている。なお,下面加熱用プレート72の上面の面積は基板Gの下面の面積より大きく,基板Gの下面全体を覆うようにして加熱することができる。
このように,下面加熱用プレート72をチャンバ61に対して昇降させる構成とすれば,基板Gを保持部材70に受け渡す際に下面加熱用プレート72を待機位置P1に下降させることで,余裕を持って受け渡しを行うことができ,基板Gの加熱時には加熱処理位置P2に上昇させることで,基板Gを効率的に加熱することができる。また,シリンダ75をチャンバ61の下方に配置することができ,上面加熱用プレート71をチャンバ61に対して昇降可能にする場合よりも,省スペースを図ることができる。即ち,上面加熱用プレート71を昇降可能にする場合,上段のロードロック装置22と下段のロードロック装置21との間に昇降機構を設置することとなり,ロードロック装置21の搬入口63,搬出口64とロードロック装置22の後述する搬入口103,搬出口104との間の高さが高くなってしまうが,そのような不都合が無く,その間の高さを低くすることができる。従って,搬送装置12,31の上下移動範囲も小さくすることができ,基板Gの搬送効率が良くなる。
また,チャンバ62には,ロードロック室62内に例えばN(窒素)ガスやHe(ヘリウム)ガス等の不活性ガスを供給するガス供給路85,及び,ロードロック室62内を強制排気する排気路86が接続されている。即ち,ガス供給路85からのガス供給と排気路86による強制排気により,ロードロック室62内の圧力を調節することができる。
次に,前述したロードロック装置22の構成について詳細に説明する。図3に示すように,ロードロック装置22は,密閉構造のチャンバ101を備えている。図示の例では,チャンバ101は下段のロードロック装置21のチャンバ61の上面に載せられている。チャンバ101の内部は,基板Gを収納するロードロック室102となっている。
チャンバ101の処理部3側,即ち,Y軸方向において後側には,ロードロック室102に基板Gを搬入するための搬入口103が設けられている。搬入口103には,前述したゲートバルブ27が設けられており,ゲートバルブ27によって気密に閉塞可能になっている。チャンバ101の搬入出部2側,即ち,Y軸方向において前側には,ロードロック室102から基板Gを搬出するための搬出口104が設けられている。搬出口104には,前述したゲートバルブ28が設けられており,ゲートバルブ28によって気密に閉塞可能になっている。
ロードロック室102内には,基板Gを保持するための複数の支持部材110が備えられている。各支持部材110は略棒状をなし,チャンバ101の底部から上方に突出するように設けられており,各支持部材110の上端部に基板Gの下面を載せることにより,基板Gを略水平に保持するようになっている。
さらに,ロードロック室102内には,基板Gを冷却する第一の冷却用プレートとしての上面冷却用プレート111,及び,第二の冷却用プレートとしての下面冷却用プレート112が備えられている。上面冷却用プレート111,下面冷却用プレート112には,冷却水を送水する冷却水送水路113,114がそれぞれ内蔵されており,冷却水送水路113,114を流れる冷却水の冷熱によって各上面冷却用プレート111,下面冷却用プレート112が冷却されるようになっている。
上面冷却用プレート111は,厚みを有する略長方形板状をなし,チャンバ101の天井に沿って略水平に備えられており,支持部材110に支持された基板Gの上面(例えばデバイスが形成される表面)側に配置される。また,支持部材110に支持された基板Gの上面に対して略平行な姿勢で対向するようになっている。
また,上面冷却用プレート111は,上下に昇降可能に構成されており,支持部材110に支持された基板Gに対して近接及び離隔することができる。例えば図3に示すように,チャンバ101の上方に昇降機構としてのシリンダ125が設けられており,シリンダ125に接続されたロット126が,チャンバ101の天井を上下に貫通するように設けられている。上面冷却用プレート111は,ロット126の下端部に取り付けられている。そして,シリンダ125の駆動によって,ロット126がZ軸方向に昇降することにより,上面冷却用プレート111がロット126と一体的に昇降するようになっている。上面冷却用プレート111は,例えば支持部材110に支持された基板Gから離隔する上方の待機位置P3と,基板Gに近接する下方の冷却処理位置P4とに移動する。なお,上面冷却用プレート111の下面の面積は基板Gの上面の面積より大きく,支持部材110に支持された基板Gの上面全体を覆うようにして冷却することができる。
このように,上面冷却用プレート111をチャンバ101に対して昇降させる構成とすれば,基板Gを支持部材110に受け渡す際に上面冷却用プレート111を待機位置P3に上昇させることで,余裕を持って受け渡しを行うことができ,基板Gの冷却時には冷却処理位置P4に下降させることで,基板Gを効率的に冷却することができる。また,シリンダ125をチャンバ101の上方に配置することができ,下面冷却用プレート112をチャンバ101に対して昇降可能にする場合よりも,省スペースを図ることができる。即ち,下面冷却用プレート112を昇降可能にする場合,上段のロードロック装置22と下段のロードロック装置21との間に昇降機構を設置することとなり,前述したロードロック装置21の搬入口63,搬出口64とロードロック装置22の搬入口103,搬出口104との間の高さが高くなってしまうが,そのような不都合が無く,その間の高さを低くすることができる。従って,搬送装置12,31の上下移動範囲も小さくすることができ,基板Gの搬送効率が良くなる。
下面冷却用プレート112は,厚みを有する略長方形板状をなし,チャンバ61の底面に沿って略水平に備えられており,支持部材110に支持された基板Gの下面(例えばデバイスが形成されない裏面)側に配置され,チャンバ101に対して固定されている。前述した支持部材110は,下面冷却用プレート112に形成された複数の孔128内にそれぞれ配置されている。下面冷却用プレート112は,支持部材110によって支持された基板Gの下面に対して略平行な姿勢で対向する。また,基板Gと下面冷却用プレート112との間に,略均一な幅の隙間が形成された状態で,基板Gと下面冷却用プレート112が互いに近接配置されるようになっている。下面冷却用プレート112の上面の面積は基板Gの下面の面積より大きく,支持部材110に支持された基板Gの下面全体を覆うようにして冷却することができる。
また,チャンバ102には,ロードロック室102内に例えばN(窒素)ガスやHe(ヘリウム)ガス等の不活性ガスを供給するガス供給路131,及び,ロードロック室102内を強制排気する排気路132が接続されている。即ち,ガス供給路131からのガス供給と排気路132による強制排気により,ロードロック室102内の圧力を調節することができる。
次に,以上のように構成された処理システム1における基板Gの処理工程について説明する。先ず,複数枚の基板Gが収納されたキャリアCが,開口16を搬送装置12側に向けた状態で載置台11上に載置される。そして,搬送装置12の搬送アーム15が開口16に進入させられ,一枚の基板Gが取り出される。基板Gを保持した搬送アーム15は,下段に配置されたロードロック装置21のゲートバルブ25の前方に対向する位置に移動させられる。
一方,ロードロック装置21は,閉塞状態のゲートバルブ25,26によって,搬入口63,搬出口64がそれぞれ気密に封じられており,ロードロック室62が密閉されている。ロードロック装置22は,閉塞状態のゲートバルブ27,28によって,搬入口103,搬出口104がそれぞれ気密に封じられており,ロードロック室102が密閉されている。従って,搬入出部2の雰囲気と処理部3の搬送室33内の雰囲気とは,ロードロック装置21,22を介して互いに遮断された状態となっている。搬入出部2の雰囲気は例えば大気圧となっているのに対して,搬送室33内は排気路36からの排気により真空引きされている。搬送室33は各ゲートバルブ27,28,35によって密閉されているので,略真空状態を維持することができる。
ロードロック装置21においては,先ず,ロードロック装置21内を所定の圧力,即ち搬入出部2と略同一の略大気圧にした状態で,搬出口64をゲートバルブ26によって閉じたまま,ゲートバルブ25を開放状態にして,搬入口63を開口させる。これにより,ロードロック室62は搬入口63を介して搬入出部2の雰囲気と連通した状態になる。搬入口63を開口させている間も,搬出口64をゲートバルブ26によって閉塞することにより,搬送室33内の真空状態を維持することができる。また,下面加熱用プレート72はシリンダ125の駆動により下降させておき,待機位置P1に待機させておく。こうして,搬入口63を開口させるとともに,下面加熱用プレート72を待機位置P1に配置した状態にしたら,基板Gを保持した搬送アーム15をY軸方向に移動させ,ゲートバルブ25,搬入口63を介して,ロードロック室62内に進入させ,基板Gを上面加熱用プレート71と下面加熱用プレート72との間に進入させ,搬送アーム15から保持部材70上に基板Gを受け渡す。下面加熱用プレート72が下降していることにより,上面加熱用プレート71と下面加熱用プレート72との間には,十分な空間が形成されており,搬送アーム15は下面加熱用プレート72や上面加熱用プレート71に接触することなく,基板Gは余裕を持って保持部材70に受け渡される。
このようにして基板Gがゲートバルブ25,搬入口63を通じて搬入され,上面加熱用プレート71と下面加熱用プレート72との間に収納され,搬送アーム15がロードロック室62から退出したら,ゲートバルブ25を閉じ,ロードロック室62を密閉状態にして,ロードロック室62内を排気路86によって強制排気することにより,ロードロック室62内を所定の圧力,即ち,搬送室33内と略同圧の真空状態に減圧する。なお,ロードロック室62内にガス供給路85から不活性ガスを供給しながら,即ちロードロック室62内を不活性ガスによってパージしながら減圧するようにしても良く,この場合,基板Gの加熱の促進を図ることができる。
一方,上面加熱用プレート71と下面加熱用プレート72との間に収納された基板Gは,上面加熱用プレート71と下面加熱用プレート72とによって加熱される。先ず,シリンダ75の駆動により下面加熱用プレート72が待機位置P1から上昇させられる。すると,下面加熱用プレート72が上昇する途中で,基板Gは支持部材78によって保持部材70から持ち上げられ,支持部材78によって支持された状態になる。支持部材78に支持された基板Gは,下面加熱用プレート72と一体的に上昇して,上面加熱用プレート71に近接させられる。こうして,下面加熱用プレート72が加熱処理位置P2に配置され,基板Gの上面全体に上面加熱用プレート71が近接させられ,下面全体に下面加熱用プレート72が近接させられた状態で,基板Gが上面加熱用プレート71と下面加熱用プレート72とによって加熱される。このように,基板Gを両面から加熱することにより,基板Gを均一に加熱することができ,また,短時間で効率的に加熱することができる。なお,基板Gの片面のみに加熱用プレートを近接させ片面のみから加熱する場合,加熱される側の面とその反対側の面との間で温度差が生じ,熱応力の影響により,基板Gの外周側が加熱用プレートから離れる向きに変形し,基板Gが反り返ってしまう心配があるが,上記のように,基板Gを上面加熱用プレート71と下面加熱用プレート72とによって両面から均等に加熱することにより,基板Gに温度差が生じることを防止できる。従って,基板Gが反り返ることを防止できる。
なお,ロードロック室62における基板Gの加熱は,ロードロック室62の減圧と並行して行うと良い。そうすれば,ロードロック室62での処理時間を短縮することができ,効率的である。
ロードロック室62が略真空状態になり,かつ,基板Gの加熱が終了したら,搬入口63をゲートバルブ25によって閉じたまま,ゲートバルブ26を開放状態にして,搬出口64を開口させる。これにより,ロードロック室62は搬出口64を介して搬送室33の雰囲気と連通した状態になる。搬出口64を開口させている間も,搬入口63をゲートバルブ25によって閉塞することにより,ロードロック室62及び搬送室33内の真空状態を維持することができる。
また,下面加熱用プレート72は加熱処理位置P2から下降させ,待機位置P1に戻す。すると,下面加熱用プレート72が下降する途中で,基板Gの下面に保持部材70が当接し,基板Gが支持部材78から保持部材70に受け渡される。これにより,基板Gは,上面加熱用プレート71と下面加熱用プレート72から離隔させられ,保持部材70によって支持された状態になる。
こうして,搬出口64を開口させるとともに,下面加熱用プレート72を待機位置P1に配置した状態にしたら,第二の搬送装置31の搬送アーム51をY軸方向に移動させ,ゲートバルブ26,搬出口64を介して,ロードロック室62内に進入させる。そして,搬送アーム51によって保持部材70から基板Gを受け取り,基板Gを保持した搬送アーム51をロードロック室62から退出させる。上面加熱用プレート71が上昇していることにより,上面加熱用プレート71と基板Gの間や,下面加熱用プレート72と基板Gの間には,十分な空間が形成されているので,搬送アーム51が上面加熱用プレート71や下面加熱用プレート72に接触することなく,基板Gは余裕を持ってロードロック室62から搬出される。こうして,基板Gが,ロードロック室62から搬出口64,ゲートバルブ26を通じて搬出され,処理部3の搬送室33に搬入される。
搬送室33に搬入された基板Gは,搬送アーム51によって搬送室33から基板処理装置30A〜30Eのいずれかに搬入され,所定のプラズマCVD処理による成膜が行われる。基板処理装置30A〜30Eにおいては,減圧雰囲気下で基板Gが加熱されるとともに,処理室内に反応ガスが供給され,マイクロ波のエネルギによって反応ガスがプラズマ化される。これにより,基板Gの表面上に所定の薄膜が形成される。ここで,搬入された基板Gはロードロック室62において予備加熱されているので,基板処理装置30A〜30Eにおける基板Gの加熱時間を短くすることができ,効率的に処理することができる。
基板処理装置30A〜30Eにおいて基板Gの処理が終了したら,搬送アーム51によって基板処理装置30A〜30Eから基板Gを取り出し,搬送室33に搬出させる。このとき,基板Gは高温状態となっている。
一方,ロードロック装置22は,閉塞状態のゲートバルブ27,28によって,搬入口103,搬出口104をそれぞれ気密に封じ,ロードロック室102を密閉した状態にしておく。また,排気路132の強制排気によって,ロードロック室102内を所定の圧力,即ち搬送室33と略同一の真空状態に減圧しておく。この状態で,搬出口104をゲートバルブ28によって閉じたまま,ゲートバルブ27を開放状態にして,搬入口103を開口させる。これにより,ロードロック室102は搬入口103を介して搬送室33の雰囲気と連通した状態になる。搬入口103を開口させている間も,搬出口104をゲートバルブ28によって閉塞することにより,ロードロック室102及び搬送室33内の真空状態を維持することができる。また,上面冷却用プレート111はシリンダ125の駆動により上昇させておき,待機位置P3に待機させる。
搬入口103を開口させるとともに,下面冷却用プレート112を待機位置P3に配置した状態にしたら,基板Gを保持した搬送アーム51をY軸方向に移動させ,ゲートバルブ27,搬入口103を介して,ロードロック室102内に進入させ,さらに上面冷却用プレート111と下面冷却用プレート112との間に進入させる。そして,基板Gを搬送アーム51から支持部材110上に受け渡す。上面冷却用プレート111が上昇していることにより,下面冷却用プレート112と上面冷却用プレート111との間には,十分な空間が形成されており,搬送アーム51は下面冷却用プレート112に接触することなく,基板Gは余裕を持って支持部材110に受け渡される。
このようにして,基板処理装置30A〜30Eから搬出された高温状態の基板Gが,ゲートバルブ27,搬入口103通じて搬入され,上面冷却用プレート111と下面冷却用プレート112との間に収納され,搬送アーム51がロードロック室102から退出したら,ゲートバルブ27を閉じ,ロードロック室102を密閉状態にする。そして,ロードロック室102内にガス供給路131から不活性ガスを供給して,ロードロック装置21内が所定の圧力,即ち搬入出部2と略同一の略大気圧になるまで加圧する。
一方,基板Gは上面冷却用プレート111と下面冷却用プレート112とによって冷却される。冷却時は,シリンダ125の駆動により上面冷却用プレート111を下降させて冷却処理位置P4に配置し,基板Gの上面に近接させる。即ち,基板Gの上面全体に上面冷却用プレート111を近接させ,下面全体に下面冷却用プレート112を近接させ,上面冷却用プレート111と基板Gとの間,下面冷却用プレート112と基板Gとの間に,それぞれ略均一な幅の隙間を形成した状態で,基板Gを上面冷却用プレート111と下面冷却用プレート112とによって冷却する。このように,基板Gを両面から冷却することにより,基板Gを均一に冷却することができ,また,短時間で効率的に冷却することができる。なお,基板Gの片面のみに冷却用プレートを近接させ片面のみから冷却する場合,冷却される側の面とその反対側の面との間で温度差が生じ,熱応力の影響により,基板Gの外周側が冷却用プレートに近づく向きに変形し,基板Gが反り返ってしまう心配があるが,上記のように,基板Gを上面冷却用プレート111と下面冷却用プレート112とによって両面から均等に冷却することにより,基板Gに温度差が生じることを防止できる。従って,基板Gが反り返ることを防止できる。
なお,ロードロック室102における基板Gの冷却は,ロードロック室102の加圧と並行して行うと良い。そうすれば,ロードロック室102での処理時間を短縮することができ,効率的である。また,ガス供給路131から供給される不活性ガスの冷風によって,基板Gの冷却の促進を図るようにしても良い。
ロードロック室102が略大気圧状態になり,かつ,基板Gの冷却が終了したら,搬入口103をゲートバルブ27によって閉じたまま,ゲートバルブ28を開放状態にして,搬出口104を開口させる。これにより,ロードロック室102は搬出口104を介して搬入出部2の雰囲気と連通した状態になる。搬出口104を開口させている間も,搬入口103をゲートバルブ27によって閉塞することにより,搬送室33内の真空状態を維持することができる。上面冷却用プレート111は冷却処理位置P4から上昇させ,待機位置P3に戻す。
搬出口104を開口させるとともに,上面冷却用プレート111を待機位置P3に配置した状態にしたら,搬送装置12の搬送アーム15をY軸方向に移動させ,ゲートバルブ28,搬出口104を介して,ロードロック室102内に進入させる。そして,搬送アーム15によって支持部材110から基板Gを受け取り,基板Gを保持した搬送アーム15をロードロック室102から退出させる。上面冷却用プレート111が上昇していることにより,上面冷却用プレート111と下面冷却用プレート112との間には,十分な空間が形成されているので,搬送アーム51が上面冷却用プレート111や下面冷却用プレート112に接触することなく,基板Gは余裕を持ってロードロック室102から搬出される。
こうして,基板Gはロードロック室102から搬出口104,ゲートバルブ28を通じて搬出され,搬入出部2に搬出される。そして,搬送アーム15によって載置台11上のキャリアCに戻される。以上のようにして,処理システム1における一連の処理工程が終了する。
なお,上記一連の工程において,ロードロック装置21のロードロック室62から搬送室33に基板Gを搬出した後は,ゲートバルブ26によって搬出口64を閉じ,ロードロック室62を再び密閉状態とし,ガス供給路85からの不活性ガスの供給を開始して,ロードロック室62を略大気圧に戻す。そして,基板Gを基板処理装置30A〜30Eに搬送してCVD処理する間に,次の未処理の基板Gをロードロック室62に搬入し,ロードロック室62の減圧及び基板Gの予備加熱を行うことができる。即ち,ロードロック装置21での減圧及び予備加熱を連続的に行い,基板Gをロードロック室62から基板処理装置30A〜30Eに順次搬送し,最大で5枚の基板Gを並行してCVD処理することができる。また,ロードロック装置22のロードロック室102から搬入出部2に基板Gを搬出した後は,ゲートバルブ28によって搬出口104を閉じ,ロードロック室102を密閉状態とし,排気路132によって強制排気を行い,ロードロック室102を真空状態に戻す。そして,基板処理装置30A〜30Eから,次の処理済みの基板Gをロードロック室102に搬入し,ロードロック室102の加圧及び基板Gの冷却を行うことができる。即ち,処理済みの基板Gを基板処理装置30A〜30Eからロードロック室102に順次搬送し,ロードロック装置22での加圧及び冷却を連続的に行い,基板Gを連続的に搬入出部2に戻すことができる。そして,基板Gが基板処理装置30A〜30Eから搬出された後に,すぐに未処理の基板Gをロードロック室62から基板処理装置30A〜30Eに順次搬送することで,CVD処理を連続的に行うことができる。こうして,ロードロック装置21での減圧及び予備加熱,基板処理装置30A〜30EでのCVD処理,及び,ロードロック装置22での加圧及び冷却を,並行して行い,ロードロック装置21,基板処理装置30A〜30E,ロードロック装置22を長く待機させることなく,それぞれ連続的に稼動させ,複数枚の基板Gを効率的に処理することができる。
かかる処理システム1によれば,ロードロック装置21において,上面加熱用プレート71と下面加熱用プレート72によって,基板Gを両面から加熱することで,基板Gを効率的に加熱できる。ロードロック装置21における基板Gの加熱時間を短縮し,基板処理装置30A〜30Eを長く待機させることなく,基板Gを効率的に基板処理装置30A〜30Eへ供給することができる。即ち,基板Gの加熱効率を向上させることで,スループットの向上を図ることができる。また,基板Gを両面から加熱することで,基板Gの両面の温度差が抑制されるので,基板Gの反り変形を防止できる。従って,基板Gに割れが生じたり,搬送時に搬送アーム51による基板Gの保持が不安定になったりすることを防止して,基板Gを好適に,均一に加熱することができ,ひいては,基板処理装置30A〜30Eにおいて,基板GにCVD処理を良好に施すことができる。
また,ロードロック装置22において,上面冷却用プレート111と下面冷却用プレート112によって,基板Gを両面から冷却することで,基板Gを効率的に冷却できる。ロードロック装置22における基板Gの冷却時間を短縮し,基板Gを効率的に搬入出部2に搬出することができるので,基板処理装置30A〜30Eにおいて処理が終了した基板Gを長く待機させることなく,効率的にロードロック装置22に搬送し,搬入出部2に搬出することができる。即ち,基板Gの冷却効率を向上させることで,スループットの向上を図ることができる。また,基板Gを両面から冷却することで,基板Gの両面の温度差が抑制されるので,基板Gの反り変形を防止できる。従って,基板Gに割れが生じたり,搬送時に搬送アーム15による基板Gの保持が不安定になったりすることを防止でき,また,基板GをカセットCに確実に収納することができる。
以上,本発明の好適な実施形態について説明したが,本発明はかかる例に限定されない。当業者であれば,特許請求の範囲に記載された技術的思想の範疇内において,各種の変更例または修正例に想到しうることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
以上の実施形態では,加熱用のロードロック装置21を1台設けることとしたが,かかるロードロック装置21は,2台以上設けても良い。また,冷却用のロードロック装置22を1台設けることとしたが,かかるロードロック装置22は,2台以上設けても良い。また,加熱用のロードロック装置21と冷却用のロードロック装置22は,上下に積み重ねるものに限定されず,例えば横に並べて設けるようにしても良く,離隔した位置に設けても良い。
ロードロック装置21においては,下面加熱用プレート72をチャンバ61に対して昇降可能とし,さらに,下面加熱用プレート72上の支持部材78によって保持部材70から基板Gを受け取る構成としたが,基板Gを受け取らず,保持部材70(この場合は,加熱時に基板を支持する支持部材として機能)に支持された基板Gに単に近接する構成としても良い。また,上面加熱用プレート71をチャンバ61に対して昇降可能とし,上面加熱用プレート71自体の昇降移動により,上面加熱用プレート71を基板Gに近接及び離隔させることが可能な構成にしても良い。また,以上の実施形態では,上面加熱用プレート71と下面加熱用プレート72をそれぞれ基板Gに対して隙間を空けて近接させた状態で加熱を行うこととしたが,上面加熱用プレート71又は下面加熱用プレート72を基板Gに接触させた状態で加熱するようにしても良い。
また,ロードロック装置21においては,上面冷却用プレート111をチャンバ101に対して昇降可能とし,基板Gに対して近接及び離隔させることが可能な構成とし,下面冷却用プレート112をチャンバ101に対して固定させた構成としたが,勿論,下面冷却用プレート112も基板Gに対して近接及び離隔させることが可能な構成としても良い。また,例えばロードロック装置21における下面加熱用プレート72と同様に,下面冷却用プレート112の上面に,基板Gを支持するための支持部材を設け,基板Gの冷却時に支持部材110から基板Gを受け取る構成としても良い。この場合,上面冷却用プレート111と下面冷却用プレート112を,両者の間に収納された基板Gに対して,それぞれ相対的に近接及び離隔可能な構成にすることができる。また,以上の実施形態では,上面冷却用プレート111と下面冷却用プレート112をそれぞれ基板Gに対して隙間を空けて近接させた状態で冷却を行うこととしたが,上面冷却用プレート111と下面冷却用プレート112を基板Gに接触させた状態で冷却するようにしても良い。
処理システムは,複数の基板処理装置を備えたマルチチャンバー型のものには限定されない。処理部に備える基板処理装置の台数は1台でも良い。また,以上の実施形態では,処理部3においてプラズマCVD処理を行う処理システム1について説明したが,処理部で行われる処理は他の処理であっても良い。本発明は,その他の減圧雰囲気下で行う処理,例えば熱CVD処理,エッチング処理,アッシング処理等を処理部において行う処理システムに適用することもできる。また,以上の実施形態では,LCD用基板Gを処理する場合について説明したが,基板は他のもの,例えば半導体ウェハ等であっても良い。
本発明は,例えば基板のCVD処理を行う処理システム,該処理システムに備えられるロードロック装置,該処理システムにおける処理方法に適用できる。
処理システムの構成を説明する概略平面図である。 処理システムの構成を説明する概略側面図である。 ロードロック装置の概略縦断面図である。
符号の説明
G 基板
1 処理システム
2 搬入出部
3 処理部
5 ロードロック装置
21 第一のロードロック装置
22 第二のロードロック装置
30A〜30E 基板処理装置
31 搬送装置
61 ロードロック室
63 搬入口
64 搬出口
71 上面加熱用プレート
72 下面加熱用プレート
75 シリンダ
78 支持部材
85 ガス供給路
86 排気路
102 ロードロック室
103 搬入口
104 搬出口
110 支持部材
111 上面冷却用プレート
112 下面冷却用プレート
125 シリンダ
131 ガス供給路
132 排気路

Claims (10)

  1. 処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,
    前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備え,
    前記第二のロードロック装置は,前記第一のロードロック装置の上に設けられ,
    前記第二のロードロック装置の上面冷却用プレートは昇降可能であることを特徴とする,ロードロック装置。
  2. 前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備えることを特徴とする請求項1に記載のロードロック装置。
  3. 前記下面加熱用プレートは,昇降可能であることを特徴とする請求項2に記載のロードロック装置。
  4. 処理部に対して基板を搬入出させる搬入出部側に設けた搬入口と,前記処理部側に設けた搬出口とを備えた第一のロードロック装置と,処理部に対して基板を搬入出させる搬入出部側に設けた搬出口と,前記処理部側に設けた搬入口とを備えた第二のロードロック装置と,を上下に積み重ねて備えたロードロック装置であって,
    前記第一のロードロック装置は,基板を加熱する上面加熱用プレート及び下面加熱用プレートを備え,
    前記第一のロードロック装置は,前記第二のロードロック装置の上に設けられ,
    前記第一のロードロック装置の上面加熱用プレートは昇降可能であることを特徴とする,ロードロック装置。
  5. 前記第二のロードロック装置は,基板を冷却する上面冷却用プレート及び下面冷却用プレートを備えることを特徴とする請求項4に記載のロードロック装置。
  6. 前記下面冷却用プレートは,昇降可能であることを特徴とする請求項5に記載のロードロック装置。
  7. 前記第一のロードロック装置および前記第二のロードロック装置において,前記基板は支持部材によって水平に支持されることを特徴とする,請求項1〜6のいずれかに記載のロードロック装置。
  8. 基板を処理する1又は2以上の基板処理装置と,
    請求項1〜7のいずれかに記載のロードロック装置と,
    前記基板処理装置とロードロック装置との間で基板を搬送する搬送装置とを備えたことを特徴とする,処理システム。
  9. 基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,
    前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの下部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,
    前記第一のロードロック装置の処理部側に設けた搬出口を閉じたまま,前記第一のロードロック装置の搬入出部側に設けた搬入口を開き,
    前記第一のロードロック装置の搬入口を通じて第一のロードロック装置内に基板を搬入し,
    第一のロードロック装置内に備えた上面加熱用プレート及び下面加熱用プレートの間に収納し, 前記第一のロードロック装置の搬入口を閉じ,
    前記上面加熱用プレートを下降させ,
    前記第一のロードロック装置内に収納された基板を,前記上面加熱用プレート及び下面加熱用プレートによって両面から加熱し,
    前記第一のロードロック装置の搬入口を閉じたまま前記第一のロードロック装置の搬出口を開き,前記上面加熱用プレートを上昇させ,前記第一のロードロック装置の搬出口を通じて処理部に基板を搬入することを特徴とする,処理方法。
  10. 基板を搬入出部から処理部に第一のロードロック装置を介して搬入し,前記処理部において処理し,前記処理部から前記搬入出部に前記第一のロードロックの上部に設けた第二のロードロック装置を介して搬出する基板の処理方法であって,
    前記処理部から前記搬入出部に基板を搬送する際に,前記第二のロードロック装置の搬入出部側に設けた搬出口を閉じたまま,前記第二のロードロック装置の処理部側に設けた搬入口を開き,
    前記第二のロードロック装置の搬入口を通じて第二のロードロック装置内に基板を搬入し,第二のロードロック装置内に備えた上面冷却用プレート及び下面冷却用プレートの間に収納し,前記第二のロードロック装置の搬入口を閉じ,
    前記上面冷却用プレートを下降させ,
    前記第二のロードロック装置内に収納された基板を,前記上面冷却用プレート及び下面冷却用プレートによって両面から冷却し,
    前記第二のロードロック装置の搬入口を閉じたまま前記第二のロードロック装置の搬出口を開き,前記上面冷却用プレートを上昇させ,前記第二のロードロック装置の搬出口を通じて搬入出部に基板を搬出することを特徴とする,処理方法。
JP2005099499A 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法 Expired - Fee Related JP4860167B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005099499A JP4860167B2 (ja) 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法
TW094143190A TW200634973A (en) 2005-03-30 2005-12-07 Load lock apparatus, load lock section, substrate processing system and substrate processing method
US11/390,259 US20060245852A1 (en) 2005-03-30 2006-03-28 Load lock apparatus, load lock section, substrate processing system and substrate processing method
CNB2006100659565A CN100426454C (zh) 2005-03-30 2006-03-29 负载锁定装置、处理系统及处理方法
KR1020060028384A KR100802671B1 (ko) 2005-03-30 2006-03-29 기판 처리 방법
KR1020070105804A KR100854142B1 (ko) 2005-03-30 2007-10-19 로드 로크 장치 및 기판 처리 시스템

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005099499A JP4860167B2 (ja) 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法

Publications (2)

Publication Number Publication Date
JP2006273563A JP2006273563A (ja) 2006-10-12
JP4860167B2 true JP4860167B2 (ja) 2012-01-25

Family

ID=37030597

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005099499A Expired - Fee Related JP4860167B2 (ja) 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法

Country Status (5)

Country Link
US (1) US20060245852A1 (ja)
JP (1) JP4860167B2 (ja)
KR (2) KR100802671B1 (ja)
CN (1) CN100426454C (ja)
TW (1) TW200634973A (ja)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910791B2 (ja) * 2000-09-19 2007-04-25 東京エレクトロン株式会社 基板の熱処理方法及び基板の熱処理装置
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JPWO2009028595A1 (ja) * 2007-08-31 2010-12-02 キヤノンアネルバ株式会社 基板処理装置
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20100014945A1 (en) * 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
CN102859667A (zh) * 2010-04-30 2013-01-02 泰拉半导体株式会社 基板处理装置
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (ko) * 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
JP2012250230A (ja) * 2011-06-02 2012-12-20 Tokyo Ohka Kogyo Co Ltd 加熱装置、塗布装置及び加熱方法
KR101680950B1 (ko) * 2012-02-16 2016-11-29 쌩-고벵 글래스 프랑스 코팅된 기판을 처리하기 위한 처리 박스, 장치 및 방법
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP5959914B2 (ja) * 2012-04-18 2016-08-02 東京エレクトロン株式会社 基板処理システム、基板搬送方法および記憶媒体
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014143846A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160041175A (ko) * 2014-10-06 2016-04-18 삼성디스플레이 주식회사 박막 트랜지스터 기판의 제조 방법 및 이의 제조 장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6719993B2 (ja) * 2016-06-30 2020-07-08 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019078989A1 (en) * 2017-10-16 2019-04-25 Applied Materials, Inc. HEATED SUPPORT BASE AT HIGH TEMPERATURE IN DOUBLE LOAD LOCKOUT CONFIGURATION
CN111213227B (zh) * 2017-10-19 2023-10-13 瑞士艾发科技 用于处理衬底的方法和设备
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR20210022068A (ko) 2018-07-17 2021-03-02 에이에스엠엘 네델란즈 비.브이. 입자 빔 검사 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200350188A1 (en) * 2019-05-02 2020-11-05 Intevac, Inc. Inline vacuum processing system with substrate and carrier cooling
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
WO2021192001A1 (ja) * 2020-03-24 2021-09-30 株式会社日立ハイテク 真空処理装置
KR102541982B1 (ko) * 2022-04-14 2023-06-13 주성엔지니어링(주) 기판처리시스템 및 기판처리방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920009371B1 (ko) * 1990-05-21 1992-10-15 재단법인 한국전자통신연구소 양면 가열형 급속열처리 장치
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
JPH05160046A (ja) * 1991-12-05 1993-06-25 Kokusai Electric Co Ltd 基板加熱方法及びその装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JP3816929B2 (ja) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 半導体処理装置
JPH09171953A (ja) 1995-12-20 1997-06-30 Sony Corp 基板加熱装置、基板加熱方法および半導体集積回路装置、フォトマスクならびに液晶表示装置
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
WO2001072094A1 (en) * 2000-03-20 2001-09-27 Tokyo Electron Limited High speed photoresist stripping chamber
KR200206347Y1 (ko) 2000-07-24 2000-12-01 아남반도체주식회사 급속열처리시스템에서 반도체 웨이퍼의 양면냉각장치
KR20070037517A (ko) * 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20040090529A (ko) * 2003-04-17 2004-10-26 주식회사 제일 기판처리장치
JP3609077B1 (ja) * 2003-07-09 2005-01-12 東京エレクトロン株式会社 高圧熱処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation

Also Published As

Publication number Publication date
KR20060106751A (ko) 2006-10-12
JP2006273563A (ja) 2006-10-12
TW200634973A (en) 2006-10-01
KR100854142B1 (ko) 2008-08-26
US20060245852A1 (en) 2006-11-02
KR20070112348A (ko) 2007-11-23
CN100426454C (zh) 2008-10-15
KR100802671B1 (ko) 2008-02-12
CN1841652A (zh) 2006-10-04

Similar Documents

Publication Publication Date Title
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) ロードロック装置及び処理方法
JP4409756B2 (ja) デュアル基板ロードロック・プロセス装置
JP4642619B2 (ja) 基板処理システム及び方法
JP4784599B2 (ja) 真空処理装置及び真空処理方法並びに記憶媒体
JP4916140B2 (ja) 真空処理システム
KR20080084742A (ko) 기판 처리 장치, 기판 처리 방법 및 기록 매체
KR101227809B1 (ko) 기판 배치대의 강온 방법, 컴퓨터 판독 가능한 기억 매체, 및 기판 처리 시스템
US20080223400A1 (en) Substrate processing apparatus, substrate processing method and storage medium
JP4927623B2 (ja) ロードロック装置の昇圧方法
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same
KR101898340B1 (ko) 로드록 장치에 있어서의 기판 냉각 방법, 기판 반송 방법, 및 로드록 장치
JP4885023B2 (ja) ロードロック装置および基板の処理システム
JP2005277049A (ja) 熱処理システム及び熱処理方法
JP2002100574A (ja) 基板処理装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JPH11345771A (ja) 枚葉式真空処理方法及び装置
WO2004057656A1 (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111101

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111102

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141111

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees