KR100854142B1 - 로드 로크 장치 및 기판 처리 시스템 - Google Patents

로드 로크 장치 및 기판 처리 시스템 Download PDF

Info

Publication number
KR100854142B1
KR100854142B1 KR1020070105804A KR20070105804A KR100854142B1 KR 100854142 B1 KR100854142 B1 KR 100854142B1 KR 1020070105804 A KR1020070105804 A KR 1020070105804A KR 20070105804 A KR20070105804 A KR 20070105804A KR 100854142 B1 KR100854142 B1 KR 100854142B1
Authority
KR
South Korea
Prior art keywords
substrate
load lock
board
heating plate
chamber
Prior art date
Application number
KR1020070105804A
Other languages
English (en)
Other versions
KR20070112348A (ko
Inventor
가츠히코 이와부치
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070112348A publication Critical patent/KR20070112348A/ko
Application granted granted Critical
Publication of KR100854142B1 publication Critical patent/KR100854142B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 목적은 기판을 알맞게 가열 또는 냉각할 수 있는 로드 로크 장치, 이 로드 로크 장치를 구비한 처리시스템, 및 이 로드 로크 장치를 이용한 처리방법을 제공하는 데에 있다.
본 발명에 있어서는, 처리부(3)에 대하여 기판(G)을 반출입시키는 반출입부(2)쪽에 마련한 반입구(63)와, 처리부(3)쪽에 마련한 반출구(64)와, 기판을 지지하는 지지부재(78)를 구비한 로드 로크 장치(21)에 있어서, 지지부재(78)에 의해서 지지된 기판(G)을 가열하는 제 1 가열용 플레이트(71) 및 제 2 가열용 플레이트(72)를 구비하고, 상기 제 1 가열용 플레이트(71) 및 제 2 가열용 플레이트(72) 중 한쪽이 기판(G)의 표면쪽에 배치되고, 다른 쪽이 기판(G)의 이면쪽에 배치되도록 하였다.

Description

로드 로크 장치 및 기판 처리 시스템{LOAD LOCK APPARATUS AND SUBSTRATE PROCESSING SYSTEM AND PROCESSING METHOD}
본 발명은 로드 로크 장치, 그 로드 로크 장치와 CVD 장치 등의 기판 처리장치를 구비한 처리시스템, 및 그 처리시스템에 있어서의 기판의 처리방법에 관한 것이다.
예를 들어 LCD 기판 등의 제조공정에 있어서는 감압분위기 하에서 기판에 성막, 에칭, 애싱 등의 소정의 처리를 실시하는 기판 처리장치를 복수 개 구비한, 이른바 멀티 챔버형의 처리시스템이 사용되고 있다(예를 들어 특허문헌 1 참조). 이와 같은 처리시스템에는 기판을 반송하는 기판 반송장치를 구비한 반송실과, 그 주위에 설치된 복수 개의 기판 처리장치를 갖는 처리부가 구비되어 있다. 그리고, 기판 반송장치의 반송아암에 의하여, 기판이 각 기판 처리장치에 대하여 반출입되게 되어 있다.
또한, 이 처리시스템에는 카세트 스테이션 등을 구비한 반출입부, 및 반출입 부와 처리부 사이에 설치된 로드 로크 장치가 구비되어 있다. 로드 로크 장치는 처리부 내를 진공으로 유지하고, 대기압으로 되어 있는 반출입부쪽으로 개방시키지 않는 것을 목적으로 설치되는 것으로서, 예를 들어 반송실에 인접시켜서 배치된다. 이러한 구성에 있어서, 반출입부에 반송된 기판은 먼저 로드 로크 장치의 반출입부쪽에 마련한 반출입구를 통하여, 로드 로크 장치 내에 수납된다. 그리고, 로드 로크 장치 내가 감압되어 진공으로 된 후, 로드 로크 장치의 처리부쪽에 마련한 반출입구가 개방되어 반송실에 연통시키면, 기판 반송장치의 반송아암에 의하여, 기판이 로드 로크 장치로부터 반출되어 각 기판 처리장치에 반송된다. 또, 각 기판 처리장치에서 처리된 기판은 기판 반송장치의 반송아암에 의하여 인출되고, 로드 로크 장치의 반출입부쪽의 반출입구를 통하여 로드 로크 장치 내에 수납된다. 그리고, 로드 로크 장치 내가 가압되어 대기압으로 돌아가면, 로드 로크 장치의 반출입부쪽의 반출입구가 개방되어, 기판이 반출입부로 되돌아가게 되어 있다.
이러한 로드 로크 장치로서는 로드 로크 장치 내에서 기판을 예비가열하기 위한 히터를 구비한 것이 알려져 있다(예를 들어 특허문헌 2 참조). 또, 가열용 플레이트와 냉각용 플레이트를 구비하여, 기판을 반출입부로부터 처리부로 반입할 때에는 가열용 플레이트에 의해서 기판을 가열하고, 기판을 처리부로부터 반출입부에 반출할 때에는 냉각용 플레이트에 의해서 기판을 냉각할 수 있게 한 것이 제안되어 있다(예를 들어 특허문헌 1을 참조).
(특허문헌 1)
일본 특허공표 제2004-523880호 공보
(특허문헌 2)
일본 특허공개 제2001-239144호 공보
그러나, 종래의 로드 로크 장치에 있어서는 기판을 효율적으로 가열 또는 냉각하기가 어려워, 보다 효율적인 가열 또는 냉각수단이 요망되었다. 또, 기판이 열 응력의 영향에 의하여 뒤틀려 버리는 경우가 있었다. 그 경우, 기판에 균열이 발생하거나 반송시에 반송아암 등에 의한 유지가 불안정해지거나 카세트에 알맞게 수납되지 않는 등의 문제점이 우려되었다.
본 발명의 목적은 기판을 알맞게 가열 또는 냉각할 수 있는 로드 로크 장치, 이 로드 로크 장치를 구비한 처리시스템, 및 이 로드 로크 장치를 이용한 처리방법을 제공하는 데에 있다.
상기 과제를 해결하기 위하여, 본 발명에 의하면 처리부에 대하여 기판을 반출입시키는 반출입부쪽에 마련한 반입구와, 상기 처리부쪽에 마련한 반출구와, 기판을 지지하는 지지부재를 구비한 제 1 로드 로크 장치로서, 상기 지지부재에 의해서 지지된 기판을 가열하는 제 1 가열용 플레이트 및 제 2 가열용 플레이트를 구비하며, 상기 제 1 가열용 플레이트 및 제 2 가열용 플레이트 중 한쪽이 기판의 표면쪽에 배치되고, 다른 쪽이 기판의 이면쪽에 배치된 것을 특징으로 하는 제 1 로드 로크 장치가 제공된다. 이러한 구성에 의하면, 제 1 가열용 플레이트 및 제 2 가열용 플레이트에 의해서 기판을 양면으로부터 가열함으로써, 기판을 효율적으로 가 열할 수 있고, 또한 양면의 온도차가 억제되므로, 기판의 변형을 방지할 수 있다.
이 로드 로크 장치에 있어서는, 상기 기판은 상기 지지부재에 의해서 대략 수평으로 지지되게 하여도 좋다. 또, 상기 제 1 가열용 플레이트 및/또는 제 2 가열용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능하게 하여도 좋다.
또, 본 발명에 의하면, 처리부에 대하여 기판을 반출입시키는 반출입부쪽에 마련한 반출구와, 상기 처리부쪽에 마련한 반입구와, 기판을 지지하는 지지부재를 구비한 제 2 로드 로크 장치로서, 상기 지지부재에 의해서 지지된 기판을 냉각하는 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트를 구비하며, 상기 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트 중 한쪽이 기판의 표면쪽에 배치되고, 다른 쪽이 기판의 이면쪽에 배치된 것을 특징으로 하는 제 2 로드 로크 장치가 제공된다. 이러한 구성에 의하면, 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트에 의해서 기판을 양면으로부터 냉각함으로써, 기판을 효율적으로 냉각할 수 있고, 또한 양면의 온도차가 억제되므로, 기판의 변형을 방지할 수 있다.
상기 기판은 상기 지지부재에 의해서 대략 수평으로 지지되게 하여도 좋다. 상기 제 1 냉각용 플레이트 및/또는 제 2 냉각용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능하게 하여도 좋다.
또한, 본 발명에 의하면, 상기 제 1 로드 로크 장치와, 상기 제 2 로드 로크 장치를 구비한 것을 특징으로 하는 로드 로크 장치가 제공된다. 또, 상기 제 1 로드 로크 장치와, 상기 제 2 로드 로크 장치를 상하로 겹쳐서 구비한 것을 특징으로 하는 로드 로크 장치가 제공된다.
또, 본 발명에 의하면, 기판을 처리하는 1 또는 2 이상의 기판 처리장치와, 상기 제 1 및 제 2 로드 로크 장치중 어느 하나의 로드 로크 장치와, 상기 기판 처리장치와 로드 로크 장치 사이에서 기판을 반송하는 반송장치를 구비한 것을 특징으로 하는 처리시스템이 제공된다.
또한, 본 발명에 의하면, 기판을 반출입부로부터 처리부에 제 1 로드 로크 장치를 거쳐 반입하고, 상기 처리부에 있어서 처리하여, 상기 처리부로부터 상기 반출입부에 제 2 로드 로크 장치를 거쳐 반출하는 기판의 처리방법으로서, 상기 제 1 로드 로크 장치의 처리부쪽에 마련한 반출구를 폐쇄한 채, 상기 제 1 로드 로크 장치의 반출입부쪽에 마련한 반입구를 개방하여, 상기 제 1 로드 로크 장치의 반입구를 통하여 제 1 로드 로크 장치 내에 기판을 반입하고, 제 1 로드 로크 장치 내에 구비한 제 1 가열용 플레이트 및 제 2 가열용 플레이트 사이에 수납하고, 상기 제 1 로드 로크 장치의 반입구를 폐쇄하고, 상기 제 1 로드 로크 장치 내에 수납된 기판을, 상기 제 1 가열용 플레이트 및 제 2 가열용 플레이트에 의해서 양면으로부터 가열하고, 상기 제 1 로드 로크 장치의 반입구를 폐쇄한 채 상기 제 1 로드 로크 장치의 반출구를 개방하여, 상기 제 1 로드 로크 장치의 반출구를 통하여 처리부에 기판을 반입하는 것을 특징으로 하는 처리방법이 제공된다.
이 처리방법에 있어서는 상기 제 2 로드 로크 장치의 반출입부쪽에 마련한 반출구를 폐쇄한 채, 상기 제 2 로드 로크 장치의 처리부쪽에 마련한 반입구를 개방하여, 상기 제 2 로드 로크 장치의 반입구를 통하여 제 2 로드 로크 장치 내에 기판을 반입하고, 제 2 로드 로크 장치 내에 구비한 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트 사이에 수납하고, 상기 제 2 로드 로크 장치의 반입구를 폐쇄하고, 상기 제 2 로드 로크 장치 내에 수납된 기판을, 상기 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트에 의해서 양면으로부터 냉각하고, 상기 제 2 로드 로크 장치의 반입구를 폐쇄한 채 상기 제 2 로드 로크 장치의 반출구를 개방하여, 상기 제 2 로드 로크 장치의 반출구를 통하여 반출입부에 기판을 반출하는 것으로 하여도 좋다.
또한, 상기 처리부는 상기 반출입부보다 감압되어 있어, 상기 제 1 로드 로크 장치에 기판을 반입한 후, 상기 제 1 로드 로크 장치의 반입구를 폐쇄하여, 상기 제 1 로드 로크 장치 내를 밀폐상태로 하고, 상기 제 1 로드 로크 장치 내를 소정의 압력까지 감압하고 나서, 상기 제 1 로드 로크 장치의 반출구를 개방하여, 상기 제 1 로드 로크 장치로부터 처리부에 기판을 반출하는 것으로 하여도 좋다.
또, 본 발명에 의하면, 기판을 반출입부로부터 처리부에 제 1 로드 로크 장치를 거쳐 반입하고, 상기 처리부에 있어서 처리하여, 상기 처리부로부터 상기 반출입부에 제 2 로드 로크 장치를 거쳐 반출하는 기판의 처리방법으로서, 상기 처리부로부터 상기 반출입부에 기판을 반송할 때에, 상기 제 2 로드 로크 장치의 반출입부쪽에 마련한 반출구를 폐쇄한 채, 상기 제 2 로드 로크 장치의 처리부쪽에 마련한 반입구를 개방하여, 상기 제 2 로드 로크 장치의 반입구를 통하여 제 2 로드 로크 장치 내에 기판을 반입하고, 제 2 로드 로크 장치 내에 구비한 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트 사이에 수납하고, 상기 제 2 로드 로크 장치의 반입구를 폐쇄하고, 상기 제 2 로드 로크 장치 내에 수납된 기판을, 상기 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트에 의해서 양면으로부터 냉각하고, 상기 제 2 로드 로크 장치의 반입구를 폐쇄한 채 상기 제 2 로드 로크 장치의 반출구를 개방하여, 상기 제 2 로드 로크 장치의 반출구를 통하여 반출입부에 기판을 반출하는 것을 특징으로 하는 처리방법이 제공된다.
상기 처리부는 상기 반출입부보다 감압되어 있어, 상기 제 2 로드 로크 장치에 기판을 반입한 후, 상기 제 2 로드 로크 장치의 반입구를 폐쇄하여, 상기 제 2 로드 로크 장치 내를 밀폐상태로 하고, 상기 제 2 로드 로크 장치 내를 소정의 압력까지 가압하고 나서, 상기 제 2 로드 로크 장치의 반출구를 개방하여, 상기 제 2 로드 로크 장치로부터 반출입부에 기판을 반출하는 것으로 하여도 좋다.
본 발명에 의하면, 제 1 가열용 플레이트 및 제 2 가열용 플레이트에 의해서 기판을 양면으로부터 가열함으로써, 기판을 효율적으로 가열할 수 있고, 또한 양면의 온도차가 억제되므로, 기판의 변형을 방지할 수 있다. 또, 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트에 의해서 기판을 양면으로부터 냉각함으로써, 기판을 효율적으로 냉각할 수 있고, 또한 양면의 온도차가 억제되므로, 기판의 변형을 방지할 수 있다. 기판의 가열 또는 냉각 효율을 향상시킴으로써, 스루풋의 향상을 도모할 수 있다.
이하에 본 발명의 제 1 실시형태를, 기판의 일례로서의 LCD(Liquid Crystal Display; 액정 표시장치)용의 유리기판(G)에 대하여, 플라즈마 CVD(Chemical Vapor Deposition) 처리에 의하여 박막을 성막하는 공정을 실시하는 처리시스템에 의거하여 설명한다. 도 1은 본 발명의 실시형태에 관련된 처리시스템(1)의 개략적인 구성을 나타낸 평면도이다. 도 1에 나타낸 처리시스템(1)은 이른바 멀티 챔버형의 처리시스템으로서, 처리시스템(1)의 외부에 대하여 기판(G)을 반출입시키고, 또한 처리부(3)에 대하여 기판(G)을 반출입시키기 위한 반출입부(2)와, CVD 처리를 행하는 처리부(3)를 구비하고 있다. 반출입부(2)와 처리부(3) 사이에는 로드 로크 장치(5)가 설치되어 있다.
반출입부(2)에는 복수 매의 기판(G)을 수납한 카세트(C)를 탑재하는 탑재대(11)와, 기판(G)을 반송하는 제 1 반송장치(12)가 설치되어 있다. 탑재대(11) 위에는 도 1에 있어서 대략 수평방향의 X축 방향을 따라서 복수 개의 카세트(C)가 나란히 놓여진다. 도 2에 나타낸 바와 같이, 탑재대(11) 위의 카세트(C) 내에는 대략 직사각형의 박판 형상의 기판(G)이 대략 수평인 자세로 복수 매가 상하로 나란히 놓여 수납되어 있다.
반송장치(12)는 수평방향의 Y축 방향에 있어서 탑재대(11)의 후방(도 1에 있어서는 오른쪽)에 구비되어 있다. 또, 반송장치(12)는 X축 방향을 따라서 연장 설치된 레일(13)과, 레일(13)을 따라서 수평방향으로 이동 가능한 반송기구(14)를 구비하고 있다. 반송기구(14)는 1매의 기판(G)을 대략 수평으로 유지하는 반송아 암(15)을 구비하고 있고, 반송아암(15)은 Z축 방향(수직방향)으로 굴신가능하고 대략 수평면 내에서 선회 가능하게 구성되어 있다. 즉, 탑재대(11) 위의 각 카세트(C)의 정면에 설치된 개구(16)에 반송아암(15)을 액세스시켜서, 기판(G)을 1매씩 인출하거나 수납할 수 있는 구성으로 되어 있다. 또, 반송장치(12)를 사이에 두고 탑재대(11)와 대향하는 쪽[Y축 방향에 있어서 반송장치(12)의 후방]에 설치된 로드 로크 장치(5)에 대하여, 반송아암(15)을 액세스시켜, 기판(G)을 1매씩 반입 및 반출시킬 수 있다.
도 2에 나타낸 바와 같이, 로드 로크 장치(5)는 한 쌍의 로드 로크 장치, 즉 제 1 로드 로크 장치(21) 및 제 2 로드 로크 장치(22)에 의해서 구성되어 있다. 제 1 로드 로크 장치(21)와 제 2 로드 로크 장치(22)는 상하로 겹쳐서 구비되어 있고, 도시한 예에서는 제 1 로드 로크 장치(21) 위에 제 2 로드 로크 장치(22)가 설치되어 있다. 또, Y축 방향에 있어서 로드 로크 장치(21)의 전방측(도 2에 있어서는 좌측)에는 후술하는 로드 로크 장치(21)의 반입구(63)를 개폐하는 게이트 밸브(25)가 설치되어 있고, Y축 방향에 있어서 로드 로크 장치(21)의 후방측에는 후술하는 로드 로크 장치(21)의 반출구(64)를 개폐하는 게이트 밸브(26)가 설치되어 있다. Y축 방향에 있어서 로드 로크 장치(22)의 후방측에는 후술하는 로드 로크 장치(22)의 반입구(103)를 개폐하는 게이트 밸브(27)가 설치되어 있고, Y축 방향에 있어서 로드 로크 장치(22)의 전방측에는 후술하는 로드 로크 장치(22)의 반출구(104)를 개폐하는 게이트 밸브(28)가 설치되어 있다. 이러한 구성에 있어서, 각 게이트 밸브(25, 28)를 폐쇄함으로써, 반출입부(2)의 분위기와 로드 로크 장치(21, 22) 내의 분위기를 각각 차단할 수 있게 되어 있다. 또, 각 게이트 밸브(26, 27)를 폐쇄함으로써, 처리부(3)의 분위기와 로드 로크 장치(21, 22) 내의 분위기를 각각 차단할 수 있게 되어 있다. 또한, 기판(G)은 반출입부(2)로부터 하단의 로드 로크 장치(21)를 거쳐 처리부(3)로 반입되고, 처리부(3)에서 처리된 후에는 상단의 로드 로크 장치(22)를 거쳐 반출입부(2)로 반출된다. 이와 같이 하면, 처리후의 기판(G)에 파티클이 부착되는 것을 방지할 수 있다. 각 로드 로크 장치(21, 22)의 구조에 대해서는 이후에 상세하게 설명한다.
도 1에 도시한 바와 같이, 처리부(3)에는 기판(G)을 수납하여 플라즈마 CVD 처리를 실시하는 복수 개, 예를 들어 5개의 기판 처리장치(30A∼30E) 사이, 및 로드 로크 장치(5)와 각 기판 처리장치(30A∼30E) 사이에서 기판(G)을 반송하는 제 2 반송장치(31)가 구비되어 있다. 제 2 반송장치(31)는 밀폐구조의 챔버(32) 내에 설치된 반송실(33)에 격납되어 있다. 챔버(32)는 Y축 방향에 있어서 로드 로크 장치(5)의 후방에 설치되어 있다. 또, 로드 로크 장치(5) 및 기판 처리장치(30A∼30E)는 챔버(32)의 주위를 둘러싸도록 배치되어 있다.
반송실(33)과 로드 로크 장치(21, 22) 사이에는 전술한 게이트 밸브(26, 27)가 각각 설치되어 있고, 각 게이트 밸브(26, 27)에 의해서 반송실(33) 내의 분위기와 로드 로크 장치(21, 22) 내의 분위기를 각각 차단할 수 있게 되어 있다. 반송실(33)과 각 기판 처리장치(30A∼30E) 사이에는 각각 게이트 밸브(35)가 설치되어 있고, 각 게이트 밸브(35)에 의해서 기판 처리장치(30A∼30E)의 개구를 기밀하게 폐색하여, 반송실(33) 내의 분위기와 각 기판 처리장치(30A∼30E) 내의 분위기를 각각 차단할 수 있게 되어 있다. 또, 도 2에 도시한 바와 같이, 반송실(33) 내를 강제배기하여 감압시키기 위한 배기로(36)가 설치되어 있다. 처리시스템(1)에 있어서의 처리시, 처리부(3)의 반송실(33), 기판 처리장치(30A∼30E) 내에는 반출입부(2)보다 감압분위기가 되어, 예를 들어 진공상태로 된다.
제 2 반송장치(31)는 예를 들어 다관절의 반송아암(51)을 구비하고 있다. 반송아암(51)은 1매의 기판(G)을 대략 수평으로 유지할 수 있고, Z축 방향으로 굴신가능하고 대략 수평면 내에서 선회 가능하게 구성되어 있다. 즉, 각 로드 로크 장치(21, 22), 기판 처리장치(30A∼30E)에 각 게이트 밸브(26, 27, 35)를 거쳐 반송아암(51)을 액세스하게 하여, 기판(G)을 1매씩 반입 및 반출시킬 수 있도록 구성되어 있다.
다음으로, 전술한 로드 로크 장치(21)의 구성에 대하여 상세하게 설명한다. 도 3에 도시한 바와 같이 로드 로크 장치(21)는 밀폐구조의 챔버(61)를 구비하고 있다. 챔버(61)의 내부는 기판(G)을 수납하는 로드 로크실(62)로 되어 있다.
챔버(61)의 반출입부(2)쪽, 즉 Y축 방향에 있어서 전방측에는 로드 로크실(62)에 기판(G)을 반입하기 위한 반입구(63)가 마련되어 있다. 반입구(63)에는 전술한 게이트 밸브(25)가 설치되어 있고, 게이트 밸브(25)에 의해서 기밀하게 폐색 가능하게 되어 있다. 챔버(61)의 처리부(3)쪽, 즉 Y축 방향에 있어서 후방측에는 로드 로크실(62)로부터 기판(G)을 반출하기 위한 반출구(64)가 마련되어 있다. 반출구(64)에는 전술한 게이트 밸브(26)가 설치되어 있고, 게이트 밸브(26)에 의해서 기밀하게 폐색 가능하게 되어 있다.
로드 로크실(62) 내에는 기판(G)을 지지하는 복수 개의 유지부재(70)가 구비되어 있다. 각 유지부재(70)는 대략 막대형상을 이루고, 챔버(61)의 바닥부로부터 위쪽으로 돌출하도록 설치되어 있으며, 각 유지부재(70)의 상단부에 기판(G)의 하면을 올려놓음으로써, 기판(G)을 대략 수평으로 지지하게 되어 있다.
또한, 로드 로크실(62) 내에는 유지부재(70)에 지지된 기판(G)을 가열하는 제 1 가열용 플레이트로서의 상면 가열용 플레이트(71), 및 제 2 가열용 플레이트로서의 하면 가열용 플레이트(72)가 구비되어 있다. 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)는 각각 교류전원(73)에 접속되어 있고, 교류전원(73)으로부터 공급되는 전력에 의해서 각각 승온(昇溫)되게 되어 있다.
상면 가열용 플레이트(71)는 두께를 갖는 대략 직사각형 판 형상을 이루고, 챔버(61)의 천정을 따라서 대략 수평으로 구비되어 있으며, 유지부재(70)에 지지된 기판(G)의 상면(예를 들어 디바이스가 형성되는 표면)쪽에 배치되어, 챔버(61)에 대하여 고정되어 있다. 또, 유지부재(70)에 지지된 기판(G)의 상면에 대하여 대략 평행한 자세로 대향하게 되어 있다. 또한, 상면 가열용 플레이트(71)의 하면의 면적은 기판(G)의 상면의 면적보다 커서, 기판(G)의 상면 전체를 덮도록 하여 가열할 수 있다.
하면 가열용 플레이트(72)는 두께를 갖는 대략 직사각형 판 형상을 이루고, 챔버(61)의 바닥면을 따라서 대략 수평으로 구비되어 있으며, 유지부재(70)에 지지된 기판(G)의 하면(예를 들어 디바이스가 형성되지 않는 이면)쪽에 배치된다. 전술한 유지부재(70)는 하면 가열용 플레이트(72)에 형성된 복수 개의 구멍(74) 내에 각각 배치되어 있다. 하면 가열용 플레이트(72)는 유지부재(70)에 의해서 유지된 기판(G)의 하면에 대하여 대략 평행한 자세로 대향한다.
또, 하면 가열용 플레이트(72)는 상하로 승강 가능하게 구성되어 있고, 상면 가열용 플레이트(71)에 대하여 근접 및 이격할 수 있다. 예를 들어 도 3에 도시한 바와 같이 챔버(61)의 아래쪽에 승강기구로서의 실린더(75)가 설치되어 있고, 실린더(75)에 접속된 로드(76)가 챔버(61)의 바닥부를 상하로 관통하도록 설치되어 있다. 하면 가열용 플레이트(72)는 로드(76)의 상단부에 부착되어 있다. 그리고, 실린더(75)의 구동에 의해서 로드(76)가 Z축 방향으로 승강함에 따라, 하면 가열용 플레이트(72)가 로드(76)와 일체적으로, 각 구멍(74)을 각각 유지부재(70)를 따라서 이동시키면서 승강하게 되어 있다.
또한, 하면 가열용 플레이트(72)의 상면에는 가열시에 기판(G)을 지지하기 위한 복수 개의 지지부재(78)가 설치되어 있다. 하면 가열용 플레이트(72)를 대기위치(P1)로 하강시켰을 때, 지지부재(78)는 유지부재(70)의 상단부보다 아래쪽에 위치한다. 그 때문에, 유지부재(70)에 기판(G)이 유지되어 있더라도 지지부재(78)는 기판(G)에 접촉하지 않게 되어 있다. 한편, 하면 가열용 플레이트(72)를 대기위치(P1)로부터 상승시킴에 따라, 지지부재(78)를 유지부재(70)의 상단부보다 위쪽으로 이동시킬 수 있다. 즉, 유지부재(70)에 유지된 기판(G)을, 지지부재(78)에 의해서 들어올려, 지지부재(78)에 의해서 기판(G)을 지지하는 상태로 할 수 있다. 지지부재(78)는 각 지지부재(78)의 상단부에 기판(G)의 하면을 올려놓음으로써, 기판(G)을 대략 수평으로 지지하게 되어 있다. 지지부재(78)에 지지된 기판(G)의 하 면과 하면 가열용 플레이트(72)의 상면 사이에는 대략 균일한 폭의 간극이 형성되어, 기판(G)과 하면 가열용 플레이트(72)가 서로 근접 배치되게 구성되어 있다. 기판(G)의 가열시, 하면 가열용 플레이트(72)는 가열처리위치(P2)로 상승시켜지고, 이 상태에서는 복수 개의 지지부재(78)에 의해서 기판(G)이 지지되게 되어 있으며, 또한 지지부재(78)에 지지된 기판(G)과 전술한 상면 가열용 플레이트(71)가 근접하여, 지지부재(78)에 지지된 기판(G)의 상면과 전술한 상면 가열용 플레이트(71)의 하면 사이에 대략 균일한 폭의 간극이 형성되게 되어 있다. 즉, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)는 양자 사이에 수납된 기판(G)에 대하여 각각 상대적으로 근접 및 이격 가능한 구성으로 되어 있다. 또한, 하면 가열용 플레이트(72)의 상면의 면적은 기판(G)의 하면의 면적보다 커서, 기판(G)의 하면 전체를 덮도록 하여 가열할 수 있다.
이와 같이, 하면 가열용 플레이트(72)를 챔버(61)에 대하여 승강시키는 구성으로 하면, 기판(G)을 유지부재(70)에 주고받을 때에 하면 가열용 플레이트(72)를 대기위치(P1)로 하강시킴으로써 여유를 갖고 주고받을 수 있으며, 기판(G)의 가열시에는 가열처리위치(P2)로 상승시킴으로써 기판(G)을 효율적으로 가열할 수 있다. 또, 실린더(75)를 챔버(61)의 아래쪽에 배치할 수 있고, 상면 가열용 플레이트(71)를 챔버(61)에 대하여 승강 가능하게 하는 경우보다 공간절약을 도모할 수 있다. 즉, 상면 가열용 플레이트(71)를 승강 가능하게 하는 경우, 상단(上段)의 로드 로크 장치(22)와 하단(下段)의 로드 로크 장치(21) 사이에 승강기구를 설치하게 되어, 로드 로크 장치(21)의 반입구(63), 반출구(64)와 로드 로크 장치(22)의 후술하 는 반입구(103), 반출구(104) 사이의 높이가 높아져 버리는데, 그와 같은 문제점이 없어, 그 사이의 높이를 낮게 할 수 있다. 따라서, 반송장치(12, 31)의 상하 이동 범위도 작게 할 수 있어, 기판(G)의 반송효율이 좋아진다.
또, 챔버(62)에는 로드 로크실(62) 내에 예를 들어 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 공급하는 가스공급로(85), 및 로드 로크실(62) 내를 강제배기하는 배기로(86)가 접속되어 있다. 즉, 가스공급로(85)로부터의 가스공급과 배기로(86)에 의한 강제배기에 의하여, 로드 로크실(62) 내의 압력을 조절할 수 있다.
다음으로, 전술한 로드 로크 장치(22)의 구성에 대하여 상세하게 설명한다. 도 3에 도시한 바와 같이 로드 로크 장치(22)는 밀폐구조의 챔버(101)를 구비하고 있다. 도시한 예에서는 챔버(101)는 하단의 로드 로크 장치(21)의 챔버(61)의 상면에 배치되어 있다. 챔버(101)의 내부는 기판(G)을 수납하는 로드 로크실(102)로 되어 있다.
챔버(101)의 처리부(3)쪽, 즉 Y축 방향에 있어서 후방측에는 로드 로크실(102)에 기판(G)을 반입하기 위한 반입구(103)가 마련되어 있다. 반입구(103)에는 전술한 게이트 밸브(27)가 설치되어 있고, 게이트 밸브(27)에 의해서 기밀하게 폐색 가능하게 되어 있다. 챔버(101)의 반출입부(2)쪽, 즉 Y축 방향에 있어서 전방측에는 로드 로크실(102)로부터 기판(G)을 반출하기 위한 반출구(104)가 마련되어 있다. 반출구(104)에는 전술한 게이트 밸브(28)가 설치되어 있고, 게이트 밸 브(28)에 의해서 기밀하게 폐색 가능하게 되어 있다.
로드 로크실(102) 내에는 기판(G)을 유지하기 위한 복수 개의 지지부재(110)가 구비되어 있다. 각 지지부재(110)는 대략 막대형상을 이루고, 챔버(101)의 바닥부로부터 위쪽으로 돌출하도록 설치되어 있으며, 각 지지부재(110)의 상단부에 기판(G)의 하면을 올려놓음으로써, 기판(G)을 대략 수평으로 유지하게 되어 있다.
또한, 로드 로크실(102) 내에는 기판(G)을 냉각하는 제 1 냉각용 플레이트로서의 상면 냉각용 플레이트(111), 및 제 2 냉각용 플레이트로서의 하면 냉각용 플레이트(112)가 구비되어 있다. 상면 냉각용 플레이트(111), 하면 냉각용 플레이트(112)에는 냉각수를 송수(送水)하는 냉각수 송수로(113, 114)가 각각 내장되어 있고, 냉각수 송수로(113, 114)를 흐르는 냉각수의 냉열에 의해서 각 상면 냉각용 플레이트(111), 하면 냉각용 플레이트(112)가 냉각되게 되어 있다.
상면 냉각용 플레이트(111)는 두께를 갖는 대략 직사각형 판 형상을 이루고, 챔버(101)의 천정을 따라서 대략 수평으로 구비되어 있으며, 지지부재(110)에 지지된 기판(G)의 상면(예를 들어 디바이스가 형성되는 표면)쪽에 배치된다. 또, 지지부재(110)에 지지된 기판(G)의 상면에 대하여 대략 평행한 자세로 대향하게 되어 있다.
또, 상면 냉각용 플레이트(111)는 상하로 승강 가능하게 구성되어 있고, 지지부재(110)에 지지된 기판(G)에 대하여 근접 및 이격할 수 있다. 예를 들어 도 3에 도시한 바와 같이 챔버(101)의 위쪽에 승강기구로서의 실린더(125)가 설치되어 있고, 실린더(125)에 접속된 로드(126)가, 챔버(101)의 천정을 상하로 관통하도록 설치되어 있다. 상면 냉각용 플레이트(111)는 로드(126)의 하단부에 부착되어 있다. 그리고, 실린더(125)의 구동에 의해서, 로드(126)가 Z축 방향으로 승강함에 따라, 상면 냉각용 플레이트(111)가 로드(126)와 일체적으로 승강하게 되어 있다. 상면 냉각용 플레이트(111)는 예를 들어 지지부재(110)에 지지된 기판(G)으로부터 이격하는 위쪽의 대기위치(P3)와, 기판(G)에 근접하는 아래쪽의 냉각처리위치(P4)로 이동한다. 또한, 상면 냉각용 플레이트(111)의 하면의 면적은 기판(G)의 상면의 면적보다 커서, 지지부재(110)에 지지된 기판(G)의 상면 전체를 덮도록 하여 냉각할 수 있다.
이와 같이, 상면 냉각용 플레이트(111)를 챔버(101)에 대하여 승강시키는 구성으로 하면, 기판(G)을 지지부재(110)에 주고받을 때에 상면 냉각용 플레이트(111)를 대기위치(P3)로 상승시킴으로써 여유를 갖고 주고받을 수 있고, 기판(G)의 냉각시에는 냉각처리위치(P4)로 하강시킴으로써, 기판(G)을 효율적으로 냉각할 수 있다. 또, 실린더(125)를 챔버(101)의 위쪽에 배치할 수 있어, 하면 냉각용 플레이트(112)를 챔버(101)에 대하여 승강 가능하게 하는 경우보다 공간절약을 도모할 수 있다. 즉, 하면 냉각용 플레이트(112)를 승강 가능하게 하는 경우, 상단의 로드 로크 장치(22)와 하단의 로드 로크 장치(21) 사이에 승강기구를 설치하게 되어, 전술한 로드 로크 장치(21)의 반입구(63), 반출구(64)와 로드 로크 장치(22)의 반입구(103), 반출구(104) 사이의 높이가 높아져 버리는데, 그와 같은 문제점이 없어, 그 사이의 높이를 낮게 할 수 있다. 따라서, 반송장치(12, 31)의 상하 이동 범위도 작게 할 수 있어, 기판(G)의 반송효율이 좋아진다.
하면 냉각용 플레이트(112)는 두께를 갖는 대략 직사각형 판 형상을 이루고, 챔버(61)의 바닥면을 따라서 대략 수평으로 구비되어 있으며, 지지부재(110)에 지지된 기판(G)의 하면(예를 들어 디바이스가 형성되지 않는 이면)쪽에 배치되어, 챔버(101)에 대하여 고정되어 있다. 전술한 지지부재(110)는 하면 냉각용 플레이트(112)에 형성된 복수 개의 구멍(128) 내에 각각 배치되어 있다. 하면 냉각용 플레이트(112)는 지지부재(110)에 의해서 지지된 기판(G)의 하면에 대하여 대략 평행한 자세로 대향한다. 또, 기판(G)과 하면 냉각용 플레이트(112) 사이에 대략 균일한 폭의 간극이 형성된 상태에서 기판(G)과 하면 냉각용 플레이트(112)가 서로 근접 배치되게 되어 있다. 하면 냉각용 플레이트(112)의 상면의 면적은 기판(G)의 하면의 면적보다 커서, 지지부재(110)에 지지된 기판(G)의 하면 전체를 덮도록 하여 냉각할 수 있다.
또, 챔버(102)에는 로드 로크실(102) 내에 예를 들어 N2(질소) 가스나 He(헬륨) 가스 등의 불활성 가스를 공급하는 가스공급로(131), 및 로드 로크실(102) 내를 강제배기하는 배기로(132)가 접속되어 있다. 즉, 가스공급로(131)로부터의 가스공급과 배기로(132)에 의한 강제배기에 의하여, 로드 로크실(102) 내의 압력을 조절할 수 있다.
다음으로, 이상과 같이 구성된 처리시스템(1)에 있어서의 기판(G)의 처리공정에 대하여 설명한다. 먼저, 복수 매의 기판(G)이 수납된 캐리어(C)가, 개구(16)를 반송장치(12)쪽으로 향한 상태에서 탑재대(11) 위에 배치된다. 그리고, 반송장 치(12)의 반송아암(15)이 개구(16)에 진입되어 1매의 기판(G)이 인출된다. 기판(G)을 유지한 반송아암(15)은 하단에 배치된 로드 로크 장치(21)의 게이트 밸브(25)의 전방에 대향하는 위치로 이동시켜진다.
한편, 로드 로크 장치(21)는 폐색상태의 게이트 밸브(25, 26)에 의해서, 반입구(63), 반출구(64)가 각각 기밀하게 밀봉되어 있어, 로드 로크실(62)이 밀폐되어 있다. 로드 로크 장치(22)는 폐색상태의 게이트 밸브(27, 28)에 의해서, 반입구(103), 반출구(104)가 각각 기밀하게 밀봉되어 있어, 로드 로크실(102)이 밀폐되어 있다. 따라서, 반출입부(2)의 분위기와 처리부(3)의 반송실(33) 내의 분위기는 로드 로크 장치(21, 22)를 개재하여 서로 차단된 상태로 되어 있다. 반출입부(2)의 분위기는 예를 들어 대기압으로 되어 있는 데 대하여, 반송실(33) 내는 배기로(36)로부터의 배기에 의하여 진공 흡인되고 있다. 반송실(33)은 각 게이트 밸브(27, 28, 35)에 의해서 밀폐되어 있으므로, 거의 진공상태를 유지할 수 있다.
로드 로크 장치(21)에 있어서는, 먼저 로드 로크 장치(21) 내를 소정의 압력, 즉 반출입부(2)와 거의 동일한 거의 대기압으로 한 상태에서, 반출구(64)를 게이트 밸브(26)에 의해서 폐쇄한 채, 게이트 밸브(25)를 개방상태로 하여, 반입구(63)를 개구시킨다. 이에 따라, 로드 로크실(62)은 반입구(63)를 거쳐 반출입부(2)의 분위기와 연통한 상태가 된다. 반입구(63)를 개구시키고 있는 동안에도 반출구(64)를 게이트 밸브(26)에 의해서 폐색함으로써, 반송실(33) 내의 진공상태를 유지할 수 있다. 또, 하면 가열용 플레이트(72)는 실린더(125)의 구동에 의하여 하강시켜 두고, 대기위치(P1)에 대기시켜 둔다. 이렇게 해서 반입구(63)를 개 구시키는 동시에 하면 가열용 플레이트(72)를 대기위치(P1)에 배치한 상태로 하면, 기판(G)을 유지한 반송아암(15)을 Y축 방향으로 이동시켜서, 게이트 밸브(25), 반입구(63)를 거쳐 로드 로크실(62) 내로 진입시키고, 기판(G)을 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72) 사이에 진입시켜, 반송아암(15)으로부터 유지부재(70) 위에 기판(G)을 주고받는다. 하면 가열용 플레이트(72)가 하강하여 있으므로, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72) 사이에는 충분한 공간이 형성되어 있어, 반송아암(15)은 하면 가열용 플레이트(72)나 상면 가열용 플레이트(71)에 접촉하는 일 없이, 기판(G)은 여유를 갖고 유지부재(70)에 주고받아진다.
이와 같이 해서 기판(G)이 게이트 밸브(25), 반입구(63)를 통하여 반입되어, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72) 사이에 수납되고, 반송아암(15)이 로드 로크실(62)로부터 퇴출하면, 게이트 밸브(25)를 폐쇄하여 로드 로크실(62)을 밀폐상태로 하여, 로드 로크실(62) 내를 배기로(86)에 의해서 강제배기함으로써, 로드 로크실(62) 내를 소정의 압력, 즉 반송실(33) 내와 거의 동일한 압력의 진공상태로 감압한다. 또한, 로드 로크실(62) 내에 가스공급로(85)로부터 불활성 가스를 공급하면서, 즉 로드 로크실(62) 내를 불활성 가스에 의해서 퍼지하면서 감압하도록 해도 되며, 이 경우 기판(G)의 가열의 촉진을 도모할 수 있다.
한편, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72) 사이에 수납된 기판(G)은 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)에 의해서 가열된다. 먼저, 실린더(75)의 구동에 의해 하면 가열용 플레이트(72)가 대기위치(P1)로 부터 상승시켜진다. 그러면, 하면 가열용 플레이트(72)가 상승하는 도중에, 기판(G)은 지지부재(78)에 의해서 유지부재(70)로부터 들어 올려져, 지지부재(78)에 의해서 지지된 상태가 된다. 지지부재(78)에 지지된 기판(G)은 하면 가열용 플레이트(72)와 일체적으로 상승하여, 상면 가열용 플레이트(71)에 근접시켜진다. 이렇게 해서, 하면 가열용 플레이트(72)가 가열처리위치(P2)에 배치되고, 기판(G)의 상면 전체에 상면 가열용 플레이트(71)가 근접시켜지고, 하면 전체에 하면 가열용 플레이트(72)가 근접시켜진 상태에서, 기판(G)이 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)에 의해서 가열된다. 이와 같이, 기판(G)을 양면으로부터 가열함에 따라, 기판(G)을 균일하게 가열할 수 있고, 또한 단시간에 효율적으로 가열할 수 있다. 또한, 기판(G)의 한쪽 면에만 가열용 플레이트를 근접시켜 한쪽 면으로부터만 가열하는 경우, 가열되는 쪽의 면과 그 반대쪽의 면 사이에서 온도차가 생겨, 열 응력의 영향에 의하여, 기판(G)의 외주쪽이 가열용 플레이트로부터 멀어지는 방향으로 변형하여, 기판(G)이 뒤틀려 버릴 우려가 있으나, 상기와 같이 기판(G)을 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)에 의해서 양면으로부터 균등하게 가열함으로써, 기판(G)에 온도차가 생기는 것을 방지할 수 있다. 따라서, 기판(G)이 뒤틀리는 것을 방지할 수 있다.
또한, 로드 로크실(62)에 있어서의 기판(G)의 가열은 로드 로크실(62)의 감압과 병행하여 행하면 좋다. 그렇게 하면, 로드 로크실(62)에서의 처리시간을 단축할 수 있어 효율적이다.
로드 로크실(62)이 거의 진공상태가 되고, 또한 기판(G)의 가열이 종료되면, 반입구(63)를 게이트 밸브(25)에 의해서 폐쇄한 채, 게이트 밸브(26)를 개방상태로 하여, 반출구(64)를 개구시킨다. 이에 의하여, 로드 로크실(62)은 반출구(64)를 거쳐 반송실(33)의 분위기와 연통한 상태가 된다. 반출구(64)를 개구시키고 있는 동안에도 반입구(63)를 게이트 밸브(25)에 의해서 폐색함으로써, 로드 로크실(62) 및 반송실(33) 내의 진공상태를 유지할 수 있다.
또, 하면 가열용 플레이트(72)는 가열처리위치(P2)로부터 하강시키고, 대기위치(P1)로 되돌린다. 그러면, 하면 가열용 플레이트(72)가 하강하는 도중에 기판(G)의 하면에 유지부재(70)가 접촉하여 기판(G)이 지지부재(78)로부터 유지부재(70)에 주고받아진다. 이에 의하여, 기판(G)은 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)로부터 이격시켜져, 유지부재(70)에 의해서 지지된 상태가 된다.
이렇게 해서, 반출구(64)를 개구시키는 동시에, 하면 가열용 플레이트(72)를 대기위치(P1)에 배치한 상태로 하면, 제 2 반송장치(31)의 반송아암(51)을 Y축 방향으로 이동시켜, 게이트 밸브(26), 반출구(64)를 거쳐 로드 로크실(62) 내로 진입시킨다. 그리고, 반송아암(51)에 의해서 유지부재(70)로부터 기판(G)을 받아, 기판(G)을 유지한 반송아암(51)을 로드 로크실(62)로부터 퇴출시킨다. 하면 가열용 플레이트(72)가 하강하여 있으므로, 상면 가열용 플레이트(71)와 기판(G) 사이나 하면 가열용 플레이트(72)와 기판(G) 사이에는 충분한 공간이 형성되어 있으므로, 반송아암(51)이 상면 가열용 플레이트(71)나 하면 가열용 플레이트(72)에 접촉하지 않아, 기판(G)은 여유를 갖고 로드 로크실(62)로부터 반출된다. 이렇게 해서, 기 판(G)이 로드 로크실(62)로부터 반출구(64), 게이트 밸브(26)를 통하여 반출되고 처리부(3)의 반송실(33)에 반입된다.
반송실(33)에 반입된 기판(G)은 반송아암(51)에 의해서 반송실(33)로부터 기판 처리장치(30A∼30E) 중 어느 하나에 반입되어, 소정의 플라즈마 CVD 처리에 의한 성막이 행해진다. 기판 처리장치(30A∼30E)에 있어서는, 감압 분위기 하에서 기판(G)이 가열되는 동시에 처리실 내에 반응가스가 공급되고, 마이크로파의 에너지에 의해서 반응가스가 플라즈마화된다. 이에 의하여, 기판(G)의 표면 위에 소정의 박막이 형성된다. 여기서, 반입된 기판(G)은 로드 로크실(62)에 있어서 예비가열되어 있으므로, 기판 처리장치(30A∼30E)에 있어서의 기판(G)의 가열시간을 짧게 할 수 있어 효율적으로 처리할 수 있다.
기판 처리장치(30A∼30E)에 있어서 기판(G)의 처리가 종료되면, 반송아암(51)에 의해서 기판 처리장치(30A∼30E)로부터 기판(G)을 인출하여 반송실(33)로 반출시킨다. 이 때, 기판(G)은 고온상태로 되어 있다.
한편, 로드 로크 장치(22)는 폐색상태의 게이트 밸브(27, 28)에 의해서, 반입구(103), 반출구(104)를 각각 기밀하게 밀봉하여, 로드 로크실(102)을 밀폐한 상태로 해 둔다. 또, 배기로(132)의 강제배기에 의해서, 로드 로크실(102) 내를 소정의 압력, 즉 반송실(33)과 거의 동일한 진공상태로 감압해 둔다. 이 상태에서, 반출구(104)를 게이트 밸브(28)에 의해서 폐쇄한 채, 게이트 밸브(27)를 개방상태로 하여, 반입구(103)를 개구시킨다. 이에 의하여, 로드 로크실(102)은 반입구(103)를 거쳐 반송실(33)의 분위기와 연통한 상태가 된다. 반입구(103)를 개구 시키고 있는 동안에도, 반출구(104)를 게이트 밸브(28)에 의해서 폐색함으로써, 로드 로크실(102) 및 반송실(33) 내의 진공상태를 유지할 수 있다. 또, 상면 냉각용 플레이트(111)는 실린더(125)의 구동에 의하여 상승시켜 두고, 대기위치(P3)에 대기시킨다.
반입구(103)를 개구시키는 동시에, 하면 냉각용 플레이트(112)를 대기위치(P3)에 배치한 상태로 하면, 기판(G)을 유지한 반송아암(51)을 Y축 방향으로 이동시켜, 게이트 밸브(27), 반입구(103)를 거쳐 로드 로크실(102) 내에 진입시키고, 다시 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112) 사이에 진입시킨다. 그리고, 기판(G)을 반송아암(51)으로부터 지지부재(110) 위에 주고받는다. 상면 냉각용 플레이트(111)가 상승하여 있으므로, 하면 냉각용 플레이트(112)와 상면 냉각용 플레이트(111) 사이에는 충분한 공간이 형성되어 있어, 반송아암(51)은 하면 냉각용 플레이트(112)에 접촉하지 않아, 기판(G)은 여유를 갖고 지지부재(110)에 주고받아진다.
이와 같이 해서, 기판 처리장치(30A∼30E)로부터 반출된 고온상태의 기판(G)이 게이트 밸브(27), 반입구(103)를 통하여 반입되어, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112) 사이에 수납되고, 반송아암(51)이 로드 로크실(102)로부터 퇴출하면, 게이트 밸브(27)를 폐쇄하여 로드 로크실(102)을 밀폐상태로 한다. 그리고, 로드 로크실(102) 내에 가스공급로(131)로부터 불활성 가스를 공급하여, 로드 로크 장치(21) 내가 소정의 압력, 즉 반출입부(2)와 거의 동일한 거의 대기압이 될 때까지 가압한다.
한편, 기판(G)은 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해서 냉각된다. 냉각시에는 실린더(125)의 구동에 의하여 상면 냉각용 플레이트(111)를 하강시켜서 냉각처리위치(P4)에 배치하고, 기판(G)의 상면에 근접시킨다. 즉, 기판(G)의 상면 전체에 상면 냉각용 플레이트(111)를 근접시키고, 하면 전체에 하면 냉각용 플레이트(112)를 근접시켜, 상면 냉각용 플레이트(111)와 기판(G) 사이, 하면 냉각용 플레이트(112)와 기판(G) 사이에 각각 거의 균일한 폭의 간극을 형성한 상태에서 기판(G)을 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해서 냉각한다. 이와 같이, 기판(G)을 양면으로부터 냉각함으로써, 기판(G)을 균일하게 냉각할 수 있고, 또한 단시간에 효율적으로 냉각할 수 있다. 또한, 기판(G)의 한쪽 면에만 냉각용 플레이트를 근접시켜 한쪽 면으로부터만 냉각하는 경우, 냉각되는 쪽의 면과 그 반대쪽의 면 사이에서 온도차가 생겨, 열 응력의 영향에 의하여, 기판(G)의 외주쪽이 냉각용 플레이트에 근접하는 방향으로 변형하여, 기판(G)이 뒤틀려 버릴 우려가 있으나, 상기와 같이 기판(G)을 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해서 양면으로부터 균등하게 냉각함으로써, 기판(G)에 온도차가 생기는 것을 방지할 수 있다. 따라서, 기판(G)이 뒤틀리는 것을 방지할 수 있다.
또한, 로드 로크실(102)에 있어서의 기판(G)의 냉각은 로드 로크실(102)의 가압과 병행하여 행하면 좋다. 그렇게 하면, 로드 로크실(102)에서의 처리시간을 단축할 수 있어 효율적이다. 또, 가스공급로(131)로부터 공급되는 불활성 가스의 냉풍에 의해서 기판(G)의 냉각의 촉진을 도모하도록 하여도 좋다.
로드 로크실(102)이 거의 대기압 상태가 되고, 또한 기판(G)의 냉각이 종료되면, 반입구(103)를 게이트 밸브(27)에 의해서 폐쇄한 채, 게이트 밸브(28)를 개방상태로 하여, 반출구(104)를 개구시킨다. 이에 의하여, 로드 로크실(102)은 반출구(104)를 거쳐 반출입부(2)의 분위기와 연통한 상태가 된다. 반출구(104)를 개구시키고 있는 동안에도, 반입구(103)를 게이트 밸브(27)에 의해서 폐색함으로써 반송실(33) 내의 진공상태를 유지할 수 있다. 상면 냉각용 플레이트(111)는 냉각처리위치(P4)로부터 상승시켜 대기위치(P3)로 되돌린다.
반출구(104)를 개구시키는 동시에, 상면 냉각용 플레이트(111)를 대기위치(P3)에 배치한 상태로 하면, 반송장치(12)의 반송아암(15)을 Y축 방향으로 이동시켜 게이트 밸브(28), 반출구(104)를 거쳐 로드 로크실(102) 내에 진입시킨다. 그리고, 반송아암(15)에 의해서 지지부재(110)로부터 기판(G)을 받아, 기판(G)을 유지한 반송아암(15)을 로드 로크실(102)로부터 퇴출시킨다. 상면 냉각용 플레이트(111)가 상승하여 있기 때문에, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112) 사이에는 충분한 공간이 형성되어 있으므로, 반송아암(51)이 상면 냉각용 플레이트(111)나 하면 냉각용 플레이트(112)에 접촉하지 않아, 기판(G)은 여유를 갖고 로드 로크실(102)로부터 반출된다.
이렇게 해서, 기판(G)은 로드 로크실(102)로부터 반출구(104), 게이트 밸브(28)를 통하여 반출되어 반출입부(2)에 반출된다. 그리고, 반송아암(15)에 의해서 탑재대(11) 위의 캐리어(C)에 되돌려진다. 이상과 같이 해서, 처리시스템(1)에 있어서의 일련의 처리공정이 종료된다.
또한, 상기 일련의 공정에 있어서, 로드 로크 장치(21)의 로드 로크실(62)로부터 반송실(33)에 기판(G)을 반출한 후에는, 게이트 밸브(26)에 의해서 반출구(64)를 폐쇄하여 로드 로크실(62)을 다시 밀폐상태로 하고, 가스공급로(85)로부터의 불활성 가스의 공급을 개시하여, 로드 로크실(62)을 거의 대기압으로 되돌린다. 그리고, 기판(G)을 기판 처리장치(30A∼30E)에 반송하여 CVD 처리하는 동안에, 다음의 미처리 기판(G)을 로드 로크실(62)에 반입하여, 로드 로크실(62)의 감압 및 기판(G)의 예비가열을 행할 수 있다. 즉, 로드 로크 장치(21)에서의 감압 및 예비가열을 연속적으로 행하여, 기판(G)을 로드 로크실(62)로부터 기판 처리장치(30A∼30E)에 순차적으로 반송하여, 최대 5매의 기판(G)을 병행하여 CVD 처리할 수 있다. 또, 로드 로크 장치(22)의 로드 로크실(102)로부터 반출입부(2)에 기판(G)을 반출한 후에는 게이트 밸브(28)에 의해서 반출구(104)를 폐쇄하여 로드 로크실(102)을 밀폐상태로 하고, 배기로(132)에 의해서 강제배기를 행하여 로드 로크실(102)을 진공상태로 되돌린다. 그리고, 기판 처리장치(30A∼30E)로부터, 다음의 처리완료 기판(G)을 로드 로크실(102)에 반입하여, 로드 로크실(102)의 가압 및 기판(G)의 냉각을 행할 수 있다. 즉, 처리완료 기판(G)을 기판 처리장치(30A∼30E)로부터 로드 로크실(102)에 순차적으로 반송하여, 로드 로크 장치(22)에서의 가압 및 냉각을 연속적으로 행하고, 기판(G)을 연속적으로 반출입부(2)로 되돌릴 수 있다. 그리고, 기판(G)이 기판 처리장치(30A∼30E)로부터 반출된 후에, 즉시 미처리 기판(G)을 로드 로크실(62)로부터 기판 처리장치(30A∼30E)에 순차적으로 반송함으로써, CVD 처리를 연속적으로 행할 수 있다. 이렇게 해서, 로드 로크 장치(21)에 서의 감압 및 예비가열, 기판 처리장치(30A∼30E)에서의 CVD 처리, 및 로드 로크 장치(22)에서의 가압 및 냉각을 병행하여 행하고, 로드 로크 장치(21), 기판 처리장치(30A∼30E), 로드 로크 장치(22)를 오래 대기시키지 않고, 각각 연속적으로 가동시켜, 복수 매의 기판(G)을 효율적으로 처리할 수 있다.
이러한 처리시스템(1)에 의하면, 로드 로크 장치(21)에 있어서, 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)에 의해서 기판(G)을 양면으로부터 가열함으로써, 기판(G)을 효율적으로 가열할 수 있다. 로드 로크 장치(21)에 있어서의 기판(G)의 가열시간을 단축하여, 기판 처리장치(30A∼30E)를 오래 대기시키지 않고, 기판(G)을 효율적으로 기판 처리장치(30A∼30E)로 공급할 수 있다. 즉, 기판(G)의 가열효율을 향상시킴으로써, 스루풋(throughput)의 향상을 도모할 수 있다. 또, 기판(G)을 양면으로부터 가열함으로써, 기판(G)의 양면의 온도차가 억제되므로, 기판(G)의 휨 변형을 방지할 수 있다. 따라서, 기판(G)에 균열이 발생하거나 반송시에 반송아암(51)에 의한 기판(G)의 유지가 불안정해지는 것을 방지하여, 기판(G)을 알맞게 균일하게 가열할 수 있고, 나아가서는 기판 처리장치(30A∼30E)에 있어서 기판(G)에 CVD 처리를 양호하게 실시할 수 있다.
또, 로드 로크 장치(22)에 있어서, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)에 의해서 기판(G)을 양면으로부터 냉각함으로써 기판(G)을 효율적으로 냉각할 수 있다. 로드 로크 장치(22)에 있어서의 기판(G)의 냉각시간을 단축하여, 기판(G)을 효율적으로 반출입부(2)에 반출할 수 있으므로, 기판 처리장치(30A∼30E)에 있어서 처리가 종료된 기판(G)을 오래 대기시키지 않고 효율적으로 로드 로크 장치(22)로 반송하여 반출입부(2)에 반출할 수 있다. 즉, 기판(G)의 냉각효율을 향상시킴으로써 스루풋의 향상을 도모할 수 있다. 또, 기판(G)을 양면으로부터 냉각함으로써, 기판(G)의 양면의 온도차가 억제되므로, 기판(G)의 휨 변형을 방지할 수 있다. 따라서, 기판(G)에 균열이 발생하거나 반송시에 반송아암(15)에 의한 기판(G)의 유지가 불안정해지는 것을 방지할 수 있고, 또한 기판(G)을 카세트(C)에 확실하게 수납할 수 있다.
이상으로 본 발명의 바람직한 실시형태에 대하여 설명하였으나, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면 특허청구범위에 기재된 기술적 사상의 범주 내에 있어서 각종 변형례 또는 수정례에 상도할 수 있음은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
이상의 실시형태에서는 가열용 로드 로크 장치(21)를 1대 설치하는 것으로 하였으나, 이러한 로드 로크 장치(21)는 2대 이상 설치해도 좋다. 또, 냉각용 로드 로크 장치(22)를 1대 설치하는 것으로 하였으나, 이러한 로드 로크 장치(22)는 2대 이상 설치해도 좋다. 또, 가열용 로드 로크 장치(21)와 냉각용 로드 로크 장치(22)는 상하로 겹쳐 쌓는 것에 한정되지 않고, 예를 들어 가로로 나란히 설치하도록 해도 되고, 이격한 위치에 설치해도 좋다.
로드 로크 장치(21)에 있어서는 하면 가열용 플레이트(72)를 챔버(61)에 대하여 승강 가능하게 하고, 또한 하면 가열용 플레이트(72) 위의 지지부재(78)에 의해서 유지부재(70)로부터 기판(G)을 받는 구성으로 하였으나, 기판(G)을 받지 않고 유지부재(70)(이 경우에는 가열시에 기판을 지지하는 지지부재로서 기능)에 지지된 기판(G)에 단순히 근접하는 구성으로 하여도 좋다. 또, 상면 가열용 플레이트(71)를 챔버(61)에 대하여 승강 가능하게 하고, 상면 가열용 플레이트(71) 자체의 승강 이동에 의하여, 상면 가열용 플레이트(71)를 기판(G)에 근접 및 이격시키는 것이 가능한 구성으로 하여도 좋다. 또, 이상의 실시형태에서는 상면 가열용 플레이트(71)와 하면 가열용 플레이트(72)를 각각 기판(G)에 대하여 간극을 두고 근접시킨 상태에서 가열을 행하는 것으로 하였으나, 상면 가열용 플레이트(71) 또는 하면 가열용 플레이트(72)를 기판(G)에 접촉시킨 상태에서 가열하도록 하여도 좋다.
또, 로드 로크 장치(22)에 있어서는 상면 냉각용 플레이트(111)를 챔버(101)에 대하여 승강 가능하게 하고, 기판(G)에 대하여 근접 및 이격시키는 것이 가능한 구성으로 하며, 하면 냉각용 플레이트(112)를 챔버(101)에 대하여 고정시킨 구성으로 하였으나, 물론 하면 냉각용 플레이트(112)도 기판(G)에 대하여 근접 및 이격시키는 것이 가능한 구성으로 하여도 좋다. 또, 예를 들어 로드 로크 장치(21)에 있어서의 하면 가열용 플레이트(72)와 마찬가지로, 하면 냉각용 플레이트(112)의 상면에, 기판(G)을 지지하기 위한 지지부재를 설치하여, 기판(G)의 냉각시에 지지부재(110)로부터 기판(G)을 받는 구성으로 하여도 좋다. 이 경우, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를 양자 사이에 수납된 기판(G)에 대하여 각각 상대적으로 근접 및 이격 가능한 구성으로 할 수 있다. 또, 이상의 실시형태에서는 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를 각각 기판(G)에 대하여 간극을 두고 근접시킨 상태에서 냉각을 행하는 것으로 하였으나, 상면 냉각용 플레이트(111)와 하면 냉각용 플레이트(112)를 기판(G)에 접촉시킨 상태에서 냉 각하도록 하여도 좋다.
처리시스템은 복수 개의 기판 처리장치를 구비한 멀티 챔버형의 것으로는 한정되지 않는다. 처리부에 구비하는 기판 처리장치의 대수는 1대여도 좋다. 또, 이상의 실시형태에서는 처리부(3)에 있어서 플라즈마 CVD 처리를 행하는 처리시스템(1)에 대하여 설명하였으나, 처리부에서 행해지는 처리는 다른 처리여도 좋다. 본 발명은 그 외의 감압 분위기 하에서 행하는 처리, 예를 들어 열 CVD 처리, 에칭 처리, 애싱 처리 등을 처리부에 있어서 행하는 처리시스템에 적용할 수도 있다. 또, 이상의 실시형태에서는 LCD용 기판(G)을 처리하는 경우에 대하여 설명하였으나, 기판은 다른 것, 예를 들어 반도체 웨이퍼 등이어도 좋다.
(산업상 이용가능성)
본 발명은 예를 들어 기판의 CVD 처리를 행하는 처리시스템, 이 처리시스템에 구비되는 로드 로크 장치, 이 처리시스템에 있어서의 처리방법에 적용할 수 있다.
도 1은 처리시스템의 구성을 설명하는 개략 평면도,
도 2는 처리시스템의 구성을 설명하는 개략 측면도,
도 3은 로드 로크 장치의 개략 종단면도.
※부호의 설명
G : 기판 1 : 처리시스템
2 : 반출입부 3 : 처리부
5 : 로드 로크 장치 21 : 제 1 로드 로크 장치
22 : 제 2 로드 로크 장치 30A∼30E : 기판 처리장치
31 : 반송장치 61 : 로드 로크실
63 : 반입구 64 : 반출구
71 : 상면 가열용 플레이트 72 : 하면 가열용 플레이트
75 : 실린더 78 : 지지부재
85 : 가스공급로 86 : 배기로
102 : 로드 로크실 103 : 반입구
104 : 반출구 110 : 지지부재
111 : 상면 냉각용 플레이트 112 : 하면 냉각용 플레이트
125 : 실린더 131 : 가스공급로
132 : 배기로

Claims (5)

  1. 처리부에 대하여 기판을 반출입시키는 반출입부쪽에 마련한 반입구와, 상기 처리부쪽에 마련한 반출구와, 기판을 지지하는 제 1 지지부재를 구비한 제 1 로드 로크 장치로서, 상기 제 1 지지부재에 의해서 지지된 기판을 가열하는 제 1 가열용 플레이트 및 제 2 가열용 플레이트를 구비하며, 상기 제 1 가열용 플레이트 및 제 2 가열용 플레이트 중 한쪽이 기판의 표면쪽에 배치되고, 다른 쪽이 기판의 이면쪽에 배치된, 상기 제 1 로드 로크 장치와,
    상기 처리부에 대하여 기판을 반출입시키는 상기 반출입부쪽에 마련한 반출구와, 상기 처리부쪽에 마련한 반입구와, 기판을 지지하는 제 2 지지부재를 구비한 제 2 로드 로크 장치로서, 상기 제 2 지지부재에 의해서 지지된 기판을 냉각하는 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트를 구비하며, 상기 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트 중 한쪽이 기판의 표면쪽에 배치되고, 다른 쪽이 기판의 이면쪽에 배치된, 상기 제 2 로드 로크 장치를 구비하며,
    상기 기판은 상기 제 1 지지부재에 의해서 대략 수평으로 지지되고,
    상기 제 1 가열용 플레이트 및 제 2 가열용 플레이트 모두, 또는 이들중 기판의 표면측에 배치된 가열용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 것을 특징으로 하는
    로드 로크 장치.
  2. 제 1 항에 있어서,
    상기 기판은 상기 제 2 지지부재에 의해서 대략 수평으로 지지되는 것을 특징으로 하는
    로드 로크 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 냉각용 플레이트 및 제 2 냉각용 플레이트 모두, 또는 이들중 기판의 표면측에 배치된 냉각용 플레이트는 기판에 대하여 상대적으로 근접 및 이격하는 것이 가능한 것을 특징으로 하는
    로드 로크 장치.
  4. 제 1 항에 있어서,
    상기 제 1 로드 로크 장치와, 상기 제 2 로드 로크 장치를 상하로 겹쳐서 구비한 것을 특징으로 하는
    로드 로크 장치.
  5. 기판을 처리하는 1 또는 2 이상의 기판 처리장치와,
    제 1 항 또는 제 4 항에 기재된 로드 로크 장치와,
    상기 기판 처리장치와 상기 로드 로크 장치 사이에서 기판을 반송하는 반송장치를 구비한 것을 특징으로 하는
    처리시스템.
KR1020070105804A 2005-03-30 2007-10-19 로드 로크 장치 및 기판 처리 시스템 KR100854142B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00099499 2005-03-30
JP2005099499A JP4860167B2 (ja) 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060028384A Division KR100802671B1 (ko) 2005-03-30 2006-03-29 기판 처리 방법

Publications (2)

Publication Number Publication Date
KR20070112348A KR20070112348A (ko) 2007-11-23
KR100854142B1 true KR100854142B1 (ko) 2008-08-26

Family

ID=37030597

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060028384A KR100802671B1 (ko) 2005-03-30 2006-03-29 기판 처리 방법
KR1020070105804A KR100854142B1 (ko) 2005-03-30 2007-10-19 로드 로크 장치 및 기판 처리 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060028384A KR100802671B1 (ko) 2005-03-30 2006-03-29 기판 처리 방법

Country Status (5)

Country Link
US (1) US20060245852A1 (ko)
JP (1) JP4860167B2 (ko)
KR (2) KR100802671B1 (ko)
CN (1) CN100426454C (ko)
TW (1) TW200634973A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210007824A (ko) * 2019-07-12 2021-01-20 가부시키가이샤 아루박 진공챔버 및 기판 처리장치

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910791B2 (ja) * 2000-09-19 2007-04-25 東京エレクトロン株式会社 基板の熱処理方法及び基板の熱処理装置
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
WO2008144670A1 (en) * 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JPWO2009028595A1 (ja) * 2007-08-31 2010-12-02 キヤノンアネルバ株式会社 基板処理装置
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20100014945A1 (en) * 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
WO2011136604A2 (ko) * 2010-04-30 2011-11-03 주식회사 테라세미콘 기판 처리 장치
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
JP2012250230A (ja) * 2011-06-02 2012-12-20 Tokyo Ohka Kogyo Co Ltd 加熱装置、塗布装置及び加熱方法
BR112014008177A2 (pt) * 2012-02-16 2017-04-11 Saint Gobain caixa de processo, arranjos, e métodos para processar substratos revestidos
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
JP5959914B2 (ja) * 2012-04-18 2016-08-02 東京エレクトロン株式会社 基板処理システム、基板搬送方法および記憶媒体
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014143846A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160041175A (ko) * 2014-10-06 2016-04-18 삼성디스플레이 주식회사 박막 트랜지스터 기판의 제조 방법 및 이의 제조 장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6719993B2 (ja) * 2016-06-30 2020-07-08 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019078988A1 (en) * 2017-10-16 2019-04-25 Applied Materials, Inc. HEATED SUPPORT BASE AT HIGH TEMPERATURE IN A DUAL LOAD LOCK CONFIGURATION
US20210202282A1 (en) * 2017-10-19 2021-07-01 Evatec Ag Method and apparatus for treating a substrate
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
CN112424922A (zh) 2018-07-17 2021-02-26 Asml荷兰有限公司 粒子束检查装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200350188A1 (en) * 2019-05-02 2020-11-05 Intevac, Inc. Inline vacuum processing system with substrate and carrier cooling
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
JP6990800B1 (ja) * 2020-03-24 2022-01-14 株式会社日立ハイテク 真空処理装置
KR102541982B1 (ko) * 2022-04-14 2023-06-13 주성엔지니어링(주) 기판처리시스템 및 기판처리방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920009371B1 (ko) * 1990-05-21 1992-10-15 재단법인 한국전자통신연구소 양면 가열형 급속열처리 장치
KR200206347Y1 (ko) * 2000-07-24 2000-12-01 아남반도체주식회사 급속열처리시스템에서 반도체 웨이퍼의 양면냉각장치
KR20040090529A (ko) * 2003-04-17 2004-10-26 주식회사 제일 기판처리장치
KR100441570B1 (ko) * 1995-12-20 2004-11-06 소니 가부시끼 가이샤 기판가열장치및기판가열방법,반도체집적회로장치,포토마스크및액정표시장치

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
JPH05160046A (ja) * 1991-12-05 1993-06-25 Kokusai Electric Co Ltd 基板加熱方法及びその装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JP3816929B2 (ja) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 半導体処理装置
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
WO2001072094A1 (en) * 2000-03-20 2001-09-27 Tokyo Electron Limited High speed photoresist stripping chamber
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3609077B1 (ja) * 2003-07-09 2005-01-12 東京エレクトロン株式会社 高圧熱処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920009371B1 (ko) * 1990-05-21 1992-10-15 재단법인 한국전자통신연구소 양면 가열형 급속열처리 장치
KR100441570B1 (ko) * 1995-12-20 2004-11-06 소니 가부시끼 가이샤 기판가열장치및기판가열방법,반도체집적회로장치,포토마스크및액정표시장치
KR200206347Y1 (ko) * 2000-07-24 2000-12-01 아남반도체주식회사 급속열처리시스템에서 반도체 웨이퍼의 양면냉각장치
KR20040090529A (ko) * 2003-04-17 2004-10-26 주식회사 제일 기판처리장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210007824A (ko) * 2019-07-12 2021-01-20 가부시키가이샤 아루박 진공챔버 및 기판 처리장치
KR102352718B1 (ko) 2019-07-12 2022-01-18 가부시키가이샤 아루박 진공챔버 및 기판 처리장치

Also Published As

Publication number Publication date
JP2006273563A (ja) 2006-10-12
KR20070112348A (ko) 2007-11-23
KR20060106751A (ko) 2006-10-12
JP4860167B2 (ja) 2012-01-25
CN100426454C (zh) 2008-10-15
TW200634973A (en) 2006-10-01
KR100802671B1 (ko) 2008-02-12
US20060245852A1 (en) 2006-11-02
CN1841652A (zh) 2006-10-04

Similar Documents

Publication Publication Date Title
KR100854142B1 (ko) 로드 로크 장치 및 기판 처리 시스템
KR101118362B1 (ko) 로드록 장치 및 처리 방법
US6949143B1 (en) Dual substrate loadlock process equipment
KR101088289B1 (ko) 탑재대, 처리 장치 및 처리 시스템
JP4642619B2 (ja) 基板処理システム及び方法
US20100329828A1 (en) Vacuum processing device, maintenance method for vacuum processing device, and vacuum processing factory
JP5034138B2 (ja) 熱処理方法及び熱処理装置
JP2001135704A (ja) 基板処理装置及び基板搬送用トレイの搬送制御方法
JP4927623B2 (ja) ロードロック装置の昇圧方法
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
JP2001291758A (ja) 真空処理装置
JP2002246432A (ja) 基板処理装置
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
US20120155994A1 (en) Vacuum processing device and vacuum processing factory
JP4885023B2 (ja) ロードロック装置および基板の処理システム
JP2005277049A (ja) 熱処理システム及び熱処理方法
KR101651164B1 (ko) 기판처리시스템, 그에 사용되는 기판처리시스템의 공정모듈
JP2000144430A (ja) 真空処理装置及びマルチチャンバ型真空処理装置
JPH05160046A (ja) 基板加熱方法及びその装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP2000119848A (ja) 真空成膜装置
JP2000161858A (ja) ガラス基板の熱処理方法および熱処理装置
JPH06260433A (ja) 半導体製造装置
JPWO2010090283A1 (ja) 真空装置
JP2012069628A (ja) 基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee