CN1841652A - 负载锁定装置、处理系统及处理方法 - Google Patents

负载锁定装置、处理系统及处理方法 Download PDF

Info

Publication number
CN1841652A
CN1841652A CNA2006100659565A CN200610065956A CN1841652A CN 1841652 A CN1841652 A CN 1841652A CN A2006100659565 A CNA2006100659565 A CN A2006100659565A CN 200610065956 A CN200610065956 A CN 200610065956A CN 1841652 A CN1841652 A CN 1841652A
Authority
CN
China
Prior art keywords
load lock
lock apparatus
substrate
taking out
mouth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100659565A
Other languages
English (en)
Other versions
CN100426454C (zh
Inventor
岩渕胜彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1841652A publication Critical patent/CN1841652A/zh
Application granted granted Critical
Publication of CN100426454C publication Critical patent/CN100426454C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

本发明提供一种能够恰当地加热或冷却基板的负载锁定装置、具有该负载锁定装置的处理系统及使用该负载锁定装置的处理方法。包括相对于处理部(3)设置在搬入搬出基板(G)的搬入搬出部(2)一侧的搬入口(63)、在处理部(3)一侧设置的搬出口(64)和支撑基板的支撑部件(78)的负载锁定装置(21),其中,还包括加热由支撑部件(78)支撑的基板(G)的第一加热用平板(71)以及第二加热用平板(72),所述第一加热用平板(71)以及第二加热用平板(72)的一方配置在基板(G)的表面一侧,另一方配置在基板(G)的背面一侧。

Description

负载锁定装置、处理系统及处理方法
技术领域
本发明涉及负载锁定装置、具有该负载锁定装置和CVD装置等基板处理装置的处理系统以及该处理系统中的基板的处理方法。
背景技术
例如,在LCD基板等的制造过程中,使用具有多个在减压环境下对基板实施成膜、蚀刻、灰化等特定处理的基板处理装置的所谓多腔室型处理系统(例如参照专利文献1)。在这种处理系统中包括具有搬送基板的基板搬送装置的搬送室和具有设置在其周围的多个基板处理装置的处理部。并且,通过基板搬送装置的搬送臂,基板相对于各基板搬送装置而被搬入搬出。
而且,在该处理系统中,包括:具有盒台(cassette station)等的搬入搬出部以及设置在搬入搬出部和处理部之间的负载锁定装置。负载锁定装置是以将处理部内保持为真空、不对到达大气压的搬入搬出部一侧开放为目的而设置的,例如邻接搬送室配置。这种构造中,搬送到搬入搬出部的基板,首先通过设置在负载锁定装置的搬入搬出部一侧的搬入搬出口,收纳在装置固定装置内。然后,在负载锁定装置被减压至真空之后,如果打开设置在负载锁定装置的处理部一侧的搬入搬出口,与搬送室连通,则通过基板搬送装置的搬送臂,将基板从负载锁定装置搬出,并搬送给各基板处理装置。此外,在各基板处理装置中处理的基板,通过基板搬送装置的搬送臂而被取出,通过负载锁定装置的搬入搬出部一侧的搬入搬出口,收纳在负载锁定装置内。然后,若负载锁定装置内被加压而返回到大气压,则负载锁定装置的搬入搬出部一侧的搬入搬出口被打开,并使基板返回到搬入搬出部。
作为这种负载锁定装置,公知有一种具有在负载锁定装置内用于预备加热基板的加热器的装置(例如参照专利文献2)。另外,还提出有一种具有加热用平板和冷却用平板,在从搬入搬出部将基板搬入处理部时,通过加热用平板加热基板,在从处理部将基板搬出至搬入搬出部时,通过冷却用平板能够冷却基板的装置(例如参照专利文献1)。
专利文献1:日本特表2004-523880号公报
专利文献2:日本特开2001-239114号公报
然而,对于现有技术的负载锁定装置,是难以高效率地加热或者冷却基板的,因此,期盼有更高效率的加热或者冷却手段。另外,由于热应力的影响而有可能发生基板翘曲现象。在该情况下,担心发生如下不良情况:即,基板上产生断裂、在搬送时通过搬送臂等进行的保持变得不稳定、不会被稳妥地收纳于盒子中等。
发明内容
本发明的目的在于提供一种能够恰当地加热或者冷却基板的负载锁定装置、具有该负载锁定装置的处理系统以及使用该负载锁定装置的处理方法。
为了解决上述课题,根据本发明,提供一种负载锁定装置,包括:相对于处理部、设置在搬入搬出基板的搬入搬出部一侧的搬入口,设置在上述处理部一侧的搬出口,和支撑基板的支撑部件,其特征在于:具有加热由上述支撑部件支撑的基板的第一加热用平板以及第二加热用平板,上述第一加热用平板以及第二加热用平板的一方配置在基板的表面一侧,另一方配置在基板的背面一侧。根据这种构造,通过由第一加热用平板以及第二加热用平板从两面加热基板,而能够高效率地加热基板,而且,由于两面的温度差被抑制,因此,能够防止基板的变形。
该负载锁定装置中,上述基板也可以被上述支撑部件大致水平地支撑。此外,上述第一加热用平板以及/或者第二加热用平板对于基板也可以能够相对地接近及隔离。
此外,根据本发明,提供一种负载锁定装置,其特征在于,包括:相对于处理部、设置在搬入搬出基板的搬入搬出部一侧的搬出口,设置在上述处理部一侧的搬入口,和支撑基板的支撑部件,其特征在于:具有冷却由上述支撑部件支撑的基板的第一冷却用平板以及第二冷却用平板,上述第一冷却用平板以及第二冷却用平板的一方配置在基板的表面一侧,另一方配置在基板的背面一侧。根据这种构造,通过由第一冷却用平板以及第二冷却用平板从两面冷却基板,而能够高效率地冷却基板,另外,由于两面的温度差被抑制,因此,能够防止基板的变形。
上述基板也可以被上述支撑部件大致水平地支撑。上述第一冷却用平板以及/或者第二冷却用平板对于基板也可以能够相对地接近及隔离。
而且,根据本发明,提供一种负载锁定装置,其特征在于:具有权利要求1~3中任一项所述的负载锁定装置和权利要求4~6中任一项所述的负载锁定装置。此外,提供一种负载锁定装置,其特征在于:上下叠放设置权利要求1~3中任一项上述的负载锁定装置和权利要求4~6中任一项上述的负载锁定装置。
此外,根据本发明,提供一种处理系统,其特征在于,具有:处理基板的一个或者两个以上的基板处理装置、权利要求1~8中任一项所述的负载锁定装置和在上述基板处理装置和负载锁定装置之间搬送基板的搬送装置。
此外,根据本发明,提供一种处理方法,其特征在于:从搬入搬出部通过第一负载锁定装置将基板搬入处理部,在上述处理部中进行处理,从上述处理部通过第二负载锁定装置搬出到上述搬入搬出部,其中,在关闭设置在上述第一负载锁定装置的处理部一侧的搬出口的状态下,打开设置在上述第一负载锁定装置的搬入搬出部一侧的搬入口,通过上述第一负载锁定装置的搬入口将基板搬入第一负载锁定装置,收纳于在第一负载锁定装置内设置的第一加热用平板以及第二加热用平板之间,关闭上述第一负载锁定装置的搬入口,通过第一加热用平板以及第二加热用平板从两面加热收纳在上述第一负载锁定装置内的基板,在关闭上述第一负载锁定装置的搬入口的状态下,打开上述第一负载锁定装置的搬出口,通过上述第一负载锁定装置的搬出口将基板搬入处理部。
该处理方法,也可以按如下步骤实施:在关闭设置在上述第二负载锁定装置的搬入搬出部一侧的搬出口的状态下,打开设置在上述第二负载锁定装置的处理部一侧的搬入口,通过上述第二负载锁定装置的搬入口将基板搬入第二负载锁定装置内,收纳于设置在第二负载锁定装置内的第一冷却用平板以及第二冷却用平板之间,关闭上述第二负载锁定装置的搬入口,通过上述第一冷却用平板以及第二冷却用平板从两面冷却收纳在上述第二负载锁定装置内的基板,在关闭上述第二负载锁定装置的搬入口的状态下,打开上述第二负载锁定装置的搬出口,通过上述第二负载锁定装置的搬出口将基板搬出到搬入搬出部。
另外,也可以这样实施:上述处理部比起上述搬入搬出部进一步被减压,在将基板搬入上述第一负载锁定装置之后,关闭上述第一负载锁定装置的搬入口,使上述第一负载锁定装置内处于密闭状态,将上述第一负载锁定装置内减压到特定压力之后,打开上述第一负载锁定装置的搬出口,从上述第一负载锁定装置将基板搬出到处理部。
另外,根据本发明,提供一种处理方法,其特征在于:从搬入搬出部通过第一负载锁定装置将基板搬入处理部,在上述处理部中进行处理,从上述处理部通过第二负载锁定装置将基板搬出到上述搬入搬出部,其中,在从上述处理部将基板搬送至上述搬入搬出部时,在关闭设置在上述第二负载锁定装置的搬入搬出部一侧的搬出口的状态下,打开设置在上述第二负载锁定装置的处理部一侧的搬入口,通过上述第二负载锁定装置的搬入口将基板搬入第二负载锁定装置内,收纳于设置在第二负载锁定装置内的第一冷却用平板以及第二冷却用平板之间,关闭上述第二负载锁定装置的搬入口,通过上述第一冷却用平板以及第二冷却用平板,从两面冷却收纳在上述第二负载锁定装置内的基板,在关闭上述第二负载锁定装置的搬入口的状态下,打开上述第二负载锁定装置的搬出口,通过上述第二负载锁定装置的搬出口,将基板搬出到搬入搬出部。
也可以这样实施:上述处理部比起上述搬如搬出部进一步被减压,在将基板搬入上述第二负载锁定装置之后,关闭上述第二负载锁定装置的搬入口,使上述第二负载锁定装置内处于密闭状态,将上述第二负载锁定装置内加压到特定压力之后,打开上述第二负载锁定装置的搬出口,从上述第二负载锁定装置将基板搬出到搬入搬出部。
根据本发明,通过由第一加热用平板以及第二加热用平板从两面加热基板而能够高效率地加热基板,另外,由于两面的温度差被抑制,所以,能够防止基板的变形。另外,通过由第一冷却用平板以及第二冷却用平板从两面冷却基板而能够高效率地冷却基板,另外,由于两面的温度差被抑制,所以。能够防止基板的变形。通过提高基板的加热或冷却效率而能够实现生产能力的提高。
附图说明
图1是说明处理系统的构造的概略平面图。
图2是说明处理系统的构造的概略侧面图。
图3是负载锁定装置的概略纵截面图。
符号说明:
G基板
1处理系统
2搬入搬出部
3处理部
5负载锁定装置
21第一负载锁定装置
22第二负载锁定装置
30A~30E基板处理装置
31搬送装置
61负载锁定室
63搬入口
64搬出口
71上面加热用平板
72下面加热用平板
75气缸
78支撑部件
85气体供给通路
86排气通路
102负载锁定室
103搬入口
104搬出口
110支撑部件
111上面冷却用平板
112下面冷却用平板
125气缸
131气体供给通路
132排气通路
具体实施方式
下面,根据实施通过等离子CVD(Chemical Vapor Deposition:化学气相沉积)处理在作为基板之一用例的LCD(Liquid Crystal Display:液晶显示装置)用的玻璃基板G上形成薄膜的工艺的处理系统,来说明本发明的第一实施方式。图1是表示涉及本发明实施方式的处理系统1的概略构造的平面图。图1表示的处理系统1即所谓的多腔室型处理系统,包括:用于相对于处理系统1的外部,搬入搬出基板G,并且相对于处理部3,搬入搬出基板G的搬入搬出部2;和进行CVD处理的处理部3。在搬入搬出部2和处理部3之间设置有负载锁定装置5。
在搬入搬出部2中,设置有载置收纳多片基板G的盒体C的载置台11和搬送基板G的第一搬送装置12。在载置台11上,沿图1的大致水平方向的X轴方向,排列有多个盒体C。如图2所示,在载置台11上的盒体C内,以大致水平的姿势上下多片排列收纳有大致长方形的薄板状的基板G。
搬送装置12在水平方向的Y轴方向上,配备在载置台11的后方(图1中右方)。另外,搬送装置12具有沿X轴方向延伸设置的轨道13和沿轨道13在水平方向上可移动的搬送机构14。搬送机构14具有大致水平地保持一片基板G的搬送臂15。搬送臂15构造成在Z轴方向(垂直方向)上可屈伸以及在大致水平面内可旋转。即,成为这样的构造:能够使搬送臂15进入设置在载置台11的各盒体C的正面的开口16,并一片一片地取出或者收纳基板G。另外,对于夹着搬送装置12、设置在与载置台11相对的一侧(Y轴方向上,搬送装置12的后方)的负载锁定装置5,使搬送臂15进入,能够一片一片地搬入及搬出基板G。
如图2所示,负载锁定装置5由一对负载锁定装置、即第一负载锁定装置21以及第二负载锁定装置22构成。第一负载锁定装置21和第二负载锁定装置22上下层叠地设置着,图示例子中,在第一负载锁定装置21上设置有第二负载锁定装置22。另外,在Y轴方向上,在负载锁定装置21的前侧(图2中左侧),设置有开闭后述负载锁定装置21的搬入口63的门阀25,在Y轴方向上,在负载锁定装置21的后侧,设置有开闭后述负载锁定装置21的搬出口64的门阀26。在Y轴方向上,在负载锁定装置22的后侧,设置有开闭后述负载锁定装置22的搬入口103的门阀27,在Y轴方向上,在负载锁定装置22的前侧,设置有开闭后述负载锁定装置22的搬出口104的门阀28。这种构造中,通过关闭各门阀25、28,可以分别遮断搬入搬出部2的环境和负载锁定装置21、22内的环境。而且,通过关闭各门阀26、27,可以分别遮断处理部3的环境和负载锁定装置21、22内的环境。另外,基板G从搬入搬出部2通过下层的负载锁定装置21被搬入处理部3,在处理部3处理后,通过上层的负载锁定装置22被搬出到搬入搬出部2。这样,能够防止微粒附着在处理后的基板G上。关于各负载锁定装置21、22的构造,后面详细说明。
如图1所示,在处理部3具有收纳基板G实施等离子CVD处理的多个例如五个基板处理装置30A~30E以及在负载锁定装置5和各基板处理装置30A~30E之间搬送基板G的第二搬送装置31。第二搬送装置31装在设置于密闭构造的腔室32内的搬送室33内。腔室32设置在Y轴方向上负载锁定装置5的后方。另外,负载锁定装置5以及基板处理装置30A~30E围着腔室32的周围而配置。
在搬送室33和负载锁定装置21、22之间,分别设置有上述门阀26、27,通过各门阀26、27,可以分别遮断搬送室33内的环境和负载锁定装置21、22内的环境。在搬送室33和各基板处理装置30A~30E之间,分别设置有门阀35,通过各门阀35,密封地闭塞住基板处理装置30A~30E的开口,可以分别遮断搬送室33内的环境和各基板处理装置30A~30E内的环境。另外,如图2所示,设置有进行强制排气,使搬送室33内减压的排气路36。在处理系统1进行处理时,处理部3的搬送室33、基板处理装置30A~30E内比起搬入搬出部2,处于减压环境,例如处于真空状态。
第二搬送装置31例如具有多关节的搬送臂51。搬送臂51能够大致水平地保持住一片基板G,构造成在Z轴方向上可以屈伸以及在大致水平面内可以旋转。即,这样构造:通过各门阀26、27、35,可以使搬送臂51进入各负载锁定装置21、22、基板处理装置30A~30E,能够一片一片地搬入及搬出基板G。
下面,关于上述负载锁定装置21的构造,详细地进行说明。如图3所示,负载锁定装置21具有密闭构造的腔室61。腔室61的内部成为收纳基板G的负载锁定室62。
在腔室61的搬入搬出部2一侧,即Y轴方向上前侧,设置有用于将基板G搬入负载锁定室62的搬入口63。在搬入口63设置有上述门阀25,通过门阀25,可以密封地闭塞。在腔室61的处理部3一侧,即Y轴方向上后侧,设置有用于从负载锁定室62搬出基板G的搬出口64。在搬出口64设置有上述门阀26,通过门阀26,可以密封地闭塞。
在负载锁定室62内,具有支撑基板G的多个保持部件70。各保持部件70大致形成棒状,从腔室61的底部向上方突出地设置着,通过将基板G的下面载置于各保持部件70的上端部,来大致水平地支撑基板G。
另外,在负载锁定室62内,具有作为加热支撑在保持部件70上的基板G的第一加热用平板的上面加热用平板71以及作为第二加热用平板的下面加热用平板72。上面加热用平板71和下面加热用平板72分别连接着交流电源73,通过从交流电源73供应的电力,被分别升温。
上面加热用平板71形成具有厚度的大致长方形板状,沿腔室61的天井大致水平地设置着,配置在由保持部件70所支撑的基板G的上面(例如形成装置的表面)一侧,固定在腔室61上。另外,对于由保持部件70所支撑的基板G的上面,以大致平行的姿势相对。另外,上面加热用平板71的下面的面积比基板G的上面的面积大,能够覆盖住基板G的整个上面进行加热。
下面加热用平板72形成具有厚度的大致长方形板状,沿腔室61的底面大致水平地设置着,配置在由保持部件70所支撑的基板G的下面(例如形成装置的背面)一侧。上述保持部件70分别配置在下面加热用平板72上所设置的多个孔74内。下面加热用平板72对于由保持部件70所支撑的基板G的下面,以大致平行的姿势相对。
另外,下面加热用平板72上下可升降地构造而成,对于上面加热用平板71可接近及隔离。例如,如图3所示,在腔室61的下方,设置有作为升降机构的气缸75,连接在气缸75上的杆76,上下贯通腔室61的底部而设置。下面加热用平板72安装在杆76的下端部。然后,通过气缸75的驱动,杆76在Z轴方向上升降,这样,下面加热用平板72与杆76一体地一边分别沿保持部件70在各孔74内移动,一边升降。
而且,在下面加热用平板72的上面,设置有加热时用于支撑基板G的多个支撑部件78。在使下面加热用平板72下降到待机位置P1时,支撑部件78位于比保持部件70的上端部靠下方的位置。这样,即使基板G被保持在保持部件70上,支撑部件78也不接触到基板G。另一方面,通过使下面加热用平板72从待机位置P1上升,可以使支撑部件78移动到比保持部件70的上端部靠上方的位置。即,通过支撑部件78抬升保持在保持部件70上的基板G,能够处于通过支撑部件78支撑基板G的状态。通过使基板G的下面载置于各支撑部件78的上端部,支撑部件78大致水平地支撑住基板G。在由支撑部件78支撑的基板G的下面和下面加热用平板72的上面之间,形成大致均一宽度的缝隙,基板G和下面加热用平板72互相接近配置着。在加热基板G时,下面加热用平板72上升到加热处理位置P2,在该状态下,基板G由多个支撑部件78支撑住,另外,由支撑部件78支撑的基板G的上面和上述上面加热用平板71接近,在由支撑部件78支撑的基板G的上面和上述上面加热用平板71的下面之间,形成大致均一宽度的缝隙。即,上面加热用平板71和下面加热用平板72成为这样的构造:对于收纳在两者之间的基板G,分别相对地可接近及隔离。另外,下面加热用平板72的上面面积比基板G的下面面积大,能够覆盖住基板G的整个下面进行加热。
这样,如果做成使下面加热用平板72对于腔室61升降的构造,则通过在将基板G放置在保持部件70时使下面加热用平板72下降到待机位置P1,能够带有余地地进行放置,通过在加热基板G时使之上升到加热处理位置P2,能够高效率地加热基板G。另外,可以将气缸75配置在腔室61的下方,比起使上面加热用平板71对于腔室61可升降的情况,也能够实现节省空间。即,在使上面加热用平板71可升降的情况下,成为在上层的负载锁定装置22和下层的负载锁定装置21之间设置升降机构,负载锁定装置21的搬入口63、搬出口64和负载锁定装置22的后述搬入口103、搬出口104之间的高度变高,但是,没有不良情况,可以降低其间的高度。因此,搬送装置12、13的上下移动范围也能够缩小,基板G的搬送效率改善。
另外,在腔室62上,连接着向负载锁定室62内供应例如N2(氮)气体或者He(氦)气体等惰性气体的气体供给通路85以及强制排气负载锁定室62内气体的排气通路86。即,通过从气体供给通路85进行的气体供应和由排气通路86进行的强制排气,可以调节负载锁定室62内的压力。
下面,关于上述负载锁定装置22的构造,详细地进行说明。如图3所示,负载锁定装置22具有密闭构造的腔室101。图示例中,腔室101载置于下层的负载锁定装置21的腔室61的上面。腔室101的内部成为收纳基板G的负载锁定室102。
在腔室101的处理部3一侧、即Y轴方向后侧,设置有用于将基板G搬入负载锁定室102的搬入口103。在搬入口103处设置有上述门阀27,通过门阀27可以密封地闭塞。在腔室101的搬入搬处部2一侧、即Y轴方向上前侧,设置有用于从负载锁定室102搬出基板G的搬出口104。在搬出口104处设置有上述门阀28。通过门阀28可以密封地闭塞。
在负载锁定室102内具有用于保持基板G的多个支撑部件110。各支撑部件110大致形成棒状,从腔室101的底部向上方突出地设置着,通过将基板G的下面载置于各支撑部件110的上端部,大致水平地保持住基板G。
而且,在负载锁定室102内具有冷却基板G的作为第一冷却用平板的上面冷却用平板111以及作为第二冷却用平板的下面冷却用平板112。上面冷却用平板111、下面冷却用平板112中分别内置有输送冷却水的冷却水送水路113、114,通过在冷却水送水路113、114中流动的冷却水的冷热,使各上面冷却用平板111、下面冷却用平板112被冷却。
上面冷却用平板111形成具有厚度的大致长方形板状,沿腔室101的天井大致水平地设置着,配置在由支撑部件110所支撑的基板G的上面(例如形成装置的表面)一侧。另外,对于由支撑部件110所支撑的基板G的上面,以大致平行的姿势相向而对。
另外,上面冷却用平板111可上下升降地构成,对于由支撑部件110所支撑的基板G,可以接近及隔离。例如,如图3所示,在腔室101的上方,设置有作为升降机构的气缸125,连接在气缸125上的杆126,上下贯通腔室101的天井地设置着。上面冷却用平板111安装在杆126的下端部。然后,通过气缸125的驱动,杆126在Z轴方向上升降,这样,上面冷却用平板111和杆126一体地进行升降。上面冷却用平板111移动到例如从由支撑部件110所支撑的基板G隔离开的上方的待机位置P3和接近基板G的下方的冷却处理位置P4。另外,上面冷却用平板111的下面面积比基板G的上面面积大,能够覆盖住由支撑部件110所支撑的基板G的整个上面进行冷却。
这样,如果做成使上面冷却用平板111对于腔室101升降的构造,则通过在将基板G放置到支撑部件110上时使上面冷却用平板111上升到待机位置P3,可以具有余地地进行放置,通过在冷却基板时使之下降到冷却吹位置P4,能够有效率地冷却基板G。另外,可以将气缸125配置在腔室101的上方,比起使下面冷却用平板112对于腔室101可升降的情况,也能够实现节省空间。即,在使下面冷却用平板112可升降的情况下,成为在上层的负载锁定装置22和下层的负载锁定装置21之间设置升降机构,上述负载锁定装置21的搬入口63、搬出口64和负载锁定装置22的搬入口103、搬出口104之间的高度变高,但是,没有不良情况,可以降低其间的高度。因此,搬送装置12、31的上下移动范围也可以缩小,基板G的搬送效率改善。
下面冷却用平板112形成具有厚度的大致长方形板状,沿腔室61的底面大致水平地设置着,配置在由支撑部件110支撑的基板G的下面(例如形成装置的背面)一侧,固定在腔室101上。上述支撑部件110分别配置在下面冷却用平板112上所形成的多个孔128内。下面冷却用平板112对于通过支撑部件110支撑的基板G的下面,以大致平行的姿势相对。另外,在基板G和下面冷却用平板112之间形成大致均一宽度的缝隙,在此状态下,基板G和下面冷却用平板112互相接近配置着。下面冷却用平板112的上面面积比基板G的下面面积大,能够覆盖住由支撑部件110支撑的基板G的整个下面进行冷却。
另外,在腔室102上,连接着向负载锁定室102内供应例如N2(氮)气体或者He(氦)气体等惰性气体的气体供给通路131以及强制排气负载锁定室102内气体的排气通路132。即,通过从气体供给通路131进行的气体供应和由排气通路132进行的强制排气,可以调节负载锁定室102内的压力。
下面,关于如上所述构造的处理系统1中的基板G的处理过程进行说明。首先,收纳有多片基板G的盒体C在使开口16朝向搬送装置12一侧的状态下,载置于载置台11上。然后,搬送装置12的搬送臂15进入开口16,取出一片基板G。保持有基板G的搬送臂15移动到与配置于下层的负载锁定装置21的门阀25的前方相对的位置。
另一方面,负载锁定装置21通过闭塞状态的门阀25、26,使搬入口63、搬出口64分别被密封地闭塞,负载锁定室62被密闭住。负载锁定装置22通过闭塞状态的门阀27、28,使搬入口103、搬出口104分别被密封地闭塞,负载锁定室102被密闭住。因此,搬出搬入部2的环境和处理部3的搬送室33内的环境,通过负载锁定装置21、22,处于互相被隔断的状态。搬出搬入部2的环境例如处于大气压下,与之相反,搬送室33内通过从排气通路36进行的排气而被抽成真空。搬送室33被各门阀27、28、35密闭着,因此,大致能够维持在真空状态。
关于负载锁定装置21,首先,在使负载锁定装置21内处于特定压力、即与搬入搬出部2大致相同的约大气压的状态下,通过门阀26密闭搬出口64,使门阀25处于开放状态,打开搬入口63。这样,负载锁定室通过搬入口63,成为与搬入搬出部2的环境连通的状态。在打开搬入口63期间,也通过门阀26闭塞搬出口64,据此,能够维持住搬送室33内的真空状态。另外,下面加热用平板72预先通过气缸125的驱动下降,使之处于待机位置P1。这样,打开搬入口63的同时,使下面加热用平板72处于待机位置P1的状态,之后,使保持有基板G的搬送臂15在Y轴方向上移动,通过门阀25、搬入口63,进入负载锁定室62内,使基板G进入上面加热用平板71和下面加热用平板72之间,从搬送臂15上将基板G放置在保持部件70上。通过下面加热用平板72下降,在上面加热用平板71和下面加热用平板72之间形成足够的空间,搬送臂15不接触下面加热用平板72或者上面加热用平板71,基板G具有余地地被放置在保持部件70上。
这样,基板G通过门阀25、搬入口63被搬入,收纳于上面加热用平板71和下面加热用平板72之间,搬送臂15从负载锁定室62退出,之后,关闭门阀25,使负载锁定室62处于密闭状态,通过排气通路86强制排气负载锁定室62内部,这样,将负载锁定室62内减压至特定压力、即与搬送室33内大致相同压力的真空状态。另外,也可以一边从气体供给通路85向负载锁定室62内供应惰性气体,即一边通过惰性气体清洗负载锁定室62内部,一边进行减压,在此情况下,能够实现促进基板G的加热。
另一方面,收纳在上面加热用平板71和下面加热用平板72之间的基板G,通过上面加热用平板71和下面加热用平板72而被加热。首先,通过气缸75的驱动,下面加热用平板72从待机位置P1上升。于是,在下面加热用平板72上升途中,基板G通过支撑部件78从保持部件70被抬起,处于被支撑部件78支撑的状态。被支撑部件78支撑的基板G与下面加热用平板72一起地上升,接近上面加热用平板71。由此,下面加热用平板72被配置在加热处理位置P2,在使上面加热用平板71接近基板G的整个上面,下面加热用平板72接近整个下面的状态下,基板G被上面加热用平板71和下面加热用平板72加热。这样,通过从两面加热基板G,能够均一地加热基板G,而且,能够在短时间内高效率地加热。另外,在使加热用平板仅接近基板G的单面,仅从一面进行加热的情况下,在被加热一侧的面和其相反侧的面之间产生温度差,由于热应力的影响,基板G的外周侧向从加热用平板离开的方向变形,担心基板发生翘曲,但是,如上述那样,通过上面加热用平板71和下面加热用平板72从两面均等地加热基板G,可以防止在基板G上产生温度差。因此,能够防止基板G翘曲。
另外,负载锁定室62中的基板G的加热,也可以和负载锁定室62的减压并行进行。这样的话,可以缩短在负载锁定室62内的处理时间,是有效率的。
在负载锁定室62大致成为真空状态,且基板G加热结束之后,在通过门阀25密闭搬入口63的状态下,使门阀26处于开放状态,打开搬出口64。这样,负载锁定室62通过搬出口64成为和搬送室33的环境连通的状态。在打开搬出口64期间,也通过门阀25闭塞搬入口63,这样,能够维持住负载锁定室62及搬送室33内的真空状态。
另外,下面加热用平板72从加热处理位置P2下降,返回到待机位置P1。于是,在下面加热用平板72下降的途中,保持部件70抵住基板G的下面,基板G从支撑部件78被放置到保持部件70上。据此,基板G从上面加热用平板71和下面加热用平板72隔离开,成为被保持部件70支撑的状态。
这样,在打开搬出口64的同时,使下面加热用平板72处于配置在待机位置P1的状态,之后,使第二搬送装置31的搬送臂51在Y轴方向上移动,通过门阀26、搬出口64,进入负载锁定室62内。然后,通过搬送臂51从保持部件上取下基板G,使保持有基板G的搬送臂51从负载锁定室62退出。通过上面加热用平板71上升,在上面加热用平板71和基板G之间或者下面加热用平板72和基板G之间,形成足够的空间,因此,搬送臂51不接触上面加热用平板71或下面加热用平板72,基板G具有余地地被从负载锁定室62搬出。这样,基板G从负载锁定室62通过搬出口64、门阀26搬出,被搬入处理部3的搬送室33内。
被搬入搬送室33内的基板G,通过搬送臂51从搬送室33被搬入任一个基板处理装置30A~30E中,进行通过特定等离子CVD处理进行的成膜。在基板处理装置30A~30E中,在减压环境下基板G被加热,同时,反应气体被供入处理室内,通过微波能量,反应气体被等离子化。这样,在基板G的表面上形成特定的薄膜。这里,被搬入的基板G在负载锁定室62内已被预备加热,因此,能够缩短在基板处理装置30A~30E中的基板G的加热时间,能够高效率地进行处理。
在基板处理装置30A~30E中基板G的处理结束之后,通过搬送臂51从基板处理装置30A~30E中取出基板G,搬出到搬送室33内。此时,基板G处于高温状态。
另一方面,负载锁定装置22通过闭塞状态的门阀27、28,分别密封地封闭住搬入口103、搬出口104,预先使负载锁定室102处于密闭的状态。另外,通过排气通路的强制排气,预先将负载锁定室102内减压到特定的压力,即和搬送室33大致相同的真空状态。在此状态下,通过门阀28密闭搬出口104,使门阀27处于开放状态,打开搬入口103。据此,负载锁定室102通过搬入口103成为和搬送室33的环境连通的状态。在打开搬入口103期间,也通过门阀28闭塞搬出口104,这样,能够维持住负载锁定室102及搬送室33内的真空状态。另外,上面冷却用平板111通过气缸125的驱动预先上升,处于待机位置P3。
在打开搬入口103的同时,使下面冷却用平板112处于配置在待机位置P3的状态,之后,使保持有基板G的搬送臂51在Y轴方向上移动,通过门阀27、搬入口103,进入负载锁定室102内,再进入上面冷却用平板111和下面冷却用平板112之间。然后,从搬送臂51将基板G放置到支撑部件110上。通过上面冷却用平板111上升,在下面冷却用平板112和上面冷却用平板111之间形成足够的空间,搬送臂51不接触下面冷却用平板112,基板G具有余地地被放置到支撑部件110上。
这样,从基板处理装置30A~30E中被搬出的高温状态的基板G通过门阀27、搬入口103被搬入,收纳于上面冷却用平板111和下面冷却用平板112之间。搬送臂51从负载锁定室102退出,之后,关闭门阀27,使负载锁定室处于密闭状态。然后,从气体供给通路131向负载锁定室102内供应惰性气体,进行加压,直到负载锁定装置21达到特定压力,即和搬入搬出部2大致相同的大气压。
另一方面,基板G通过上面冷却用平板111和下面冷却用平板112被冷却。冷却时,通过气缸125的驱动,使上面冷却用平板111下降,配置在冷却处理位置P4,接近基板G的上面。即,使上面冷却用平板111接近基板G的整个上面,使下面冷却用平板112接近整个下面,在上面冷却用平板111和基板G之间、在下面冷却用平板112和基板G之间分别形成大致均一宽度的缝隙,在此状态下,通过上面冷却用平板111和下面冷却用平板112冷却基板G。这样,通过从两面冷却基板G,可以均一地冷却基板G,而且,能够在短时间内高效率地进行冷却。另外,使冷却用平板仅接近基板G的单面,仅从一面进行冷却的情况下,被在冷却的一侧的面和其相反一侧的面之间产生温度差,由于热应力的影响,基板G的外周侧向接近冷却用平板的方向变形,担心基板G发生翘曲,但是,如上述那样,通过上面冷却用平板111和下面冷却用平板112从两面均等地冷却基板G,能够防止在基板G上产生温度差。因此,能够防止基板G发生翘曲。
另外,负载锁定室102中的基板G的冷却,也可以和负载锁定室102的加压并行进行。这样的话,可以缩短在负载锁定室102内的处理时间,是有效率的。另外,也可以通过从气体供给通路131供应的惰性气体的冷风,实现促进基板G的冷却。
在负载锁定室102大致成为大气压状态,且基板G的冷却结束之后,通过门阀27关闭搬入口103,使门阀28处于开放状态,打开搬出口104。这样,负载锁定室102通过搬出口104,成为与搬入搬出部2的环境连通的状态。在打开搬出口104期间,也通过门阀27闭塞搬入口103,这样,能够维持住搬送室33内的真空状态。上面冷却用平板111从冷却处理位置P4上升,返回到待机位置P3。
打开搬出口104的同时,使上面冷却用平板111处于配置在待机位置P3的状态,之后,使搬送装置12的搬送臂15在Y轴方向上移动,通过门阀28、搬出口104,进入负载锁定室102内。然后,通过搬送臂15从支撑部件110上取下基板G,使保持有基板G的搬送臂15从负载锁定室102退出。通过上面冷却用平板111上升,在上面冷却用平板111和下面冷却用平板112之间,形成足够的空间,因此,搬送臂51不接触上面冷却用平板111或下面冷却用平板112,基板G具有余地地从负载锁定室102被搬出。
这样,基板G从负载锁定室102通过搬出口104、门阀28被搬出,进入搬入搬出部2。然后,通过搬送臂15,返回到载置台上11的盒体C。通过以上步骤,处理系统1中的一系列处理步骤结束。
另外,在上述一系列步骤中,在从负载锁定装置21的负载锁定室62将基板G搬出到搬送室33之后,通过门阀26关闭搬出口64,使负载锁定室62再次处于密闭状态,开始从气体供给通路85进行惰性气体的供应,使负载锁定室62大致返回到大气压。然后,将基板G搬送入基板处理装置30A~30E进行CVD处理期间,将下一未处理的基板G搬入负载锁定室62,可以进行负载锁定室62的减压及基板G的预备加热。即,连续地进行在负载锁定装置21内的减压及预备加热,从负载锁定室62依次将基板G搬送入基板处理装置30A~30E,最大能够并行五片基板G进行CVD处理。另外,在从负载锁定装置22的负载锁定室102将基板G搬出到搬入搬出部2之后,通过门阀28关闭搬出口104,使负载锁定室102处于密闭状态,通过排气路132进行强制排气,使负载锁定室102返回到真空状态。然后,从基板处理装置30A~30E中将下一处理完成的基板G搬入到负载锁定室102内,能够进行负载锁定室102的加压及基板G的冷却。即,从基板处理装置30A~30E依次将处理完成的基板G搬送入负载锁定室102内,连续地进行在负载锁定装置22中的加压及冷却,能够使基板G连续地返回到搬入搬出部2内。并且,在基板G从基板处理装置30A~30E中被搬出之后,通过马上依次将未处理的基板G从负载锁定室62搬送入基板处理装置30A~30E,能够连续地进行CVD处理。这样,并行进行在负载锁定装置21内的减压及预备加热、在基板处理装置30A~30E内的CVD处理以及在负载锁定装置22内的加压及冷却,不会长时间使负载锁定装置21、基板处理装置30A~30E、负载锁定装置22待机,使其分别连续地工作,能够有效率地处理多片基板G。
根据这种处理系统1,在负载锁定装置21中,因为通过上面加热用平板71和下面加热用平板72,从两面加热基板G,所以,能够高效率地加热基板G。缩短在负载锁定装置21中的基板G的加热时间,不会长时间使基板处理装置30A~30E待机,可以高效率地将基板G向基板处理装置30A~30E供应。即,通过提高基板G的加热效率,能够实现生产能力的提高。另外,通过从两面加热基板G,基板G的两面上的温度差得到抑止,因此,能够防止基板G的翘曲变形。因此,可以防止在基板G上发生断裂,或者在搬送时由搬送臂15进行的基板G的保持变得不稳定,能够对基板G合适均匀地进行加热,乃至在基板处理装置30A~30E中良好地对基板G进行CVD处理。
此外,在负载锁定装置22中,因为通过上面冷却用平板111和下面冷却用平板112,从两面冷却基板G,所以,能够高效率地冷却基板G。缩短在负载锁定装置22中的基板G的冷却时间,高效率地将基板G搬出到搬入搬出部2,不会长时间在基板处理装置30A~30E中使处理结束的基板G待机,可以高效率地向负载锁定装置22搬入,从搬入搬出部2搬出。即,通过提高基板G的冷却效率,能够实现生产能力的提高。另外,通过从两面冷却基板G,基板G的两面上的温度差得到抑止,因此,能够防止基板G的翘曲变形。因此,可以防止在基板G上发生断裂,或者在搬送时由搬送臂15进行的基板G的保持变得不稳定,而且,能够可靠地将基板G收纳于盒体C中。
以上,对关于本发明的适当的实施方式进行了说明,但是,本发明不局限于这种用例。很显然,如果是本行业人员,在记载于专利申请范围的技术性思想的范畴内,能够想到各种变更用例或在修正用例,关于这些,当然也属于本发明的技术性范围。
在以上实施方式中,设置有一台加热用的负载锁定装置21,但是,这种负载锁定装置21,也可以设置两台以上。另外,设置有一台冷却用的负载锁定装置22,这种负载锁定装置22,也可以设置两台以上。还有,加热用的负载锁定装置21和冷却用的负载锁定装置22不限于上下叠放,例如,也可以横着并列设置,也可以设置在隔离的位置。
在负载锁定装置21中,使下面加热用平板72对于腔室61可升降,另外,做成通过下面加热用平板72的支撑部件78从保持部件70上接收基板G的构造,但是,也可以做成这样的构造:不接收基板G,只接近由保持部件70(此情况下,作为加热时支撑基板的支撑部件发挥作用)支撑的基板G。另外,也可以做成这样的构造:使上面加热用平板71对于腔室61可升降,通过上面加热用平板71自身的升降移动,使上面加热用平板71能够接近或者隔离基板G。另外,在以上实施方式中,在使上面加热用平板71和下面加热用平板72分别对于基板G隔开空隙接近的状态下进行加热,但是,也可以在使上面加热用平板71或下面加热用平板72接触基板G的状态下加热。
另外,在负载锁定装置21中,使上面冷却用平板111对于腔室101可升降,做成可对于基板G接近及隔离的构造,做成使下面冷却用平板112固定在腔室101上的构造,但是,当然也可以做成使下面冷却用平板112对于基板G能够接近及隔离的构造。另外,例如与负载锁定装置21中的下面加热用平板72同样地,也可以在下面冷却用平板112的上面,设置用于支撑基板G的支撑部件,做成在冷却基板G时从支撑部件110上接收基板G的构造。在此情况下,能够做成使上面冷却用平板111和下面冷却用平板112对于收纳在两者之间的基板G,分别相对地可接近及隔离的构造。另外,在以上实施方式中,在使上面冷却用平板111和下面冷却用平板112对于基板G分别隔开空隙接近的状态下进行冷却,但是,也可以在使上面冷却用平板111和下面冷却用平板112接触基板G的状态下进行冷却。
处理系统不限于具有多个基板处理装置的多腔室型的装置。在处理部具有的基板处理装置也可以为一台。另外,在以上实施方式中,关于在处理部3进行等离子CVD处理的处理系统,进行了说明,但是,在处理部进行的处理也可以为其他处理。本发明也能够适用于在其他减压环境下进行的处理,如在处理部进行热CVD处理、蚀刻处理、灰化处理等的处理系统。另外,以上实施方式中,关于处理LCD用基板G的情况进行了说明,但是,基板也可以是其他物品,如半导体晶片等。
本发明可以适用于例如进行基板的CVD处理的处理系统、在该处理系统中具有的负载锁定装置、该处理系统中的处理方法。

Claims (14)

1.一种负载锁定装置,其特征在于,包括:
相对于处理部、设置在搬入搬出基板的搬入搬出部一侧的搬入口,设置在所述处理部一侧的搬出口,和支撑基板的支撑部件,其中,还包括:
加热由所述支撑部件支撑的基板的第一加热用平板以及第二加热用平板,
所述第一加热用平板以及第二加热用平板中的一方配置在基板的表面一侧,另一方配置在基板的背面一侧。
2.如权利要求1所述的负载锁定装置,其特征在于:
所述基板由所述支撑部件大致水平地支撑。
3.如权利要求1或2所述的负载锁定装置,其特征在于:
所述第一加热用平板以及/或者第二加热用平板对于基板能够相对地接近以及隔离。
4.一种负载锁定装置,其特征在于,包括:
相对于处理部、设置在搬入搬出基板的搬入搬出部一侧的搬出口,设置在所述处理部一侧的搬入口,和支撑基板的支撑部件,其中,还包括:
冷却由所述支撑部件支撑的基板的第一冷却用平板以及第二冷却用平板,
所述第一冷却用平板以及第二冷却用平板的一方配置在基板的表面一侧,另一方配置在基板的背面一侧。
5.如权利要求4所述的负载锁定装置,其特征在于:
所述基板由所述支撑部件大致水平地支撑。
6.如权利要求4或5所述的负载锁定装置,其特征在于:
所述第一冷却用平板以及/或者第二冷却用平板对于基板能够相对地接近以及隔离。
7.一种负载锁定装置,其特征在于:
具有权利要求1~3中任一项所述的负载锁定装置和权利要求4~6中任一项所述的负载锁定装置。
8.一种负载锁定装置,其特征在于:
上下叠放设置权利要求1~3中任一项所述的负载锁定装置和权利要求4~6中任一项所述的负载锁定装置。
9.一种处理系统,其特征在于,包括:
处理基板的一个或者在两个以上的基板处理装置;
如权利要求1~8中任一项所述的负载锁定装置;和
在所述基板处理装置和负载锁定装置之间搬送基板的搬送装置。
10.一种处理方法,其特征在于:
从搬入搬出部通过第一负载锁定装置将基板搬入处理部,在所述处理部进行处理,从所述处理部通过第二负载锁定装置搬出到所述搬入搬出部,其中,
在关闭设置在所述第一负载锁定装置的处理部一侧的搬出口的状态下,打开设置在所述第一负载锁定装置的搬入搬出部一侧的搬入口;
通过所述第一负载锁定装置的搬入口将基板搬入第一负载锁定装置,收纳于在第一负载锁定装置内设置的第一加热用平板以及第二加热用平板之间,关闭所述第一负载锁定装置的搬入口;
通过第一加热用平板以及第二加热用平板从两面加热收纳在所述第一负载锁定装置内的基板;
在关闭所述第一负载锁定装置的搬入口的状态下,打开所述第一负载锁定装置的搬出口,通过所述第一负载锁定装置的搬出口将基板搬入处理部。
11.如权利要求10所述的处理方法,其特征在于:
在关闭设置在所述第二负载锁定装置的搬入搬出部一侧的搬出口的状态下,打开设置在所述第二负载锁定装置的处理部一侧的搬入口;
通过所述第二负载锁定装置的搬入口将基板搬入第二负载锁定装置内,收纳于设置在第二负载锁定装置内的第一冷却用平板以及第二冷却用平板之间,关闭所述第二负载锁定装置的搬入口;
通过所述第一冷却用平板以及第二冷却用平板从两面冷却收纳在所述第二负载锁定装置内的基板;
在关闭所述第二负载锁定装置的搬入口的状态下,打开所述第二负载锁定装置的搬出口,通过所述第二负载锁定装置的搬出口将基板搬出到搬入搬出部。
12.如权利要求10或11所述的处理方法,其特征在于:
所述处理部比起所述搬入搬出部进一步被减压;
在将基板搬入所述第一负载锁定装置之后,关闭所述第一负载锁定装置的搬入口,使所述第一负载锁定装置内处于密闭状态;
将所述第一负载锁定装置内减压到特定压力之后,打开所述第一负载锁定装置的搬出口,从所述第一负载锁定装置将基板搬出到处理部。
13.一种处理方法,其特征在于:
从搬入搬出部通过第一负载锁定装置将基板搬入处理部,在所述处理部进行处理,从所述处理部通过第二负载锁定装置将基板搬出到所述搬入搬出部;其中,
在从所述处理部将基板搬送至所述搬入搬出部时,在关闭设置在所述第二负载锁定装置的搬入搬出部一侧的搬出口的状态下,打开设置在所述第二负载锁定装置的处理部一侧的搬入口;
通过所述第二负载锁定装置的搬入口将基板搬入第二负载锁定装置内,收纳于设置在第二负载锁定装置内的第一冷却用平板以及第二冷却用平板之间,关闭所述第二负载锁定装置的搬入口;
通过所述第一冷却用平板以及第二冷却用平板,从两面冷却收纳在所述第二负载锁定装置内的基板;
在关闭所述第二负载锁定装置的搬入口的状态下,打开所述第二负载锁定装置的搬出口,通过所述第二负载锁定装置的搬出口,将基板搬出到搬入搬出部。
14.如权利要求11或13所述的处理方法,其特征在于:
所述处理部比起所述搬如搬出部进一步被减压;
在将基板搬入所述第二负载锁定装置之后,关闭所述第二负载锁定装置的搬入口,使所述第二负载锁定装置内处于密闭状态;
将所述第二负载锁定装置内加压到特定压力之后,打开所述第二负载锁定装置的搬出口,从所述第二负载锁定装置将基板搬出到搬入搬出部。
CNB2006100659565A 2005-03-30 2006-03-29 负载锁定装置、处理系统及处理方法 Expired - Fee Related CN100426454C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005099499 2005-03-30
JP2005099499A JP4860167B2 (ja) 2005-03-30 2005-03-30 ロードロック装置,処理システム及び処理方法
JP2005-099499 2005-03-30

Publications (2)

Publication Number Publication Date
CN1841652A true CN1841652A (zh) 2006-10-04
CN100426454C CN100426454C (zh) 2008-10-15

Family

ID=37030597

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100659565A Expired - Fee Related CN100426454C (zh) 2005-03-30 2006-03-29 负载锁定装置、处理系统及处理方法

Country Status (5)

Country Link
US (1) US20060245852A1 (zh)
JP (1) JP4860167B2 (zh)
KR (2) KR100802671B1 (zh)
CN (1) CN100426454C (zh)
TW (1) TW200634973A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101855719B (zh) * 2008-02-27 2012-06-06 东京毅力科创株式会社 负载锁定装置和基板冷却方法
CN102810498A (zh) * 2011-06-02 2012-12-05 东京应化工业株式会社 加热装置、涂敷装置及加热方法
CN106847737A (zh) * 2012-02-29 2017-06-13 应用材料公司 配置中的除污及剥除处理腔室
CN111213227A (zh) * 2017-10-19 2020-05-29 瑞士艾发科技 用于处理衬底的方法和设备
CN113728422A (zh) * 2020-03-24 2021-11-30 株式会社日立高新技术 真空处理装置

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910791B2 (ja) * 2000-09-19 2007-04-25 東京エレクトロン株式会社 基板の熱処理方法及び基板の熱処理装置
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
TWI455861B (zh) * 2007-05-18 2014-10-11 Brooks Automation Inc 基板加工工具、半導體加工工具、及基板加工裝置
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
CN101785094A (zh) * 2007-08-31 2010-07-21 佳能安内华股份有限公司 基板处理设备
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20100014945A1 (en) * 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
TW201222622A (en) * 2010-04-30 2012-06-01 Tera Semicon Corp Apparatus for processing a substrate
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
BR112014008177A2 (pt) * 2012-02-16 2017-04-11 Saint Gobain caixa de processo, arranjos, e métodos para processar substratos revestidos
JP5959914B2 (ja) * 2012-04-18 2016-08-02 東京エレクトロン株式会社 基板処理システム、基板搬送方法および記憶媒体
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP2015088694A (ja) * 2013-11-01 2015-05-07 株式会社日立ハイテクノロジーズ 真空処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160041175A (ko) * 2014-10-06 2016-04-18 삼성디스플레이 주식회사 박막 트랜지스터 기판의 제조 방법 및 이의 제조 장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6719993B2 (ja) * 2016-06-30 2020-07-08 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111164744B (zh) * 2017-10-16 2024-04-05 应用材料公司 呈双装载锁定配置的高温加热支撑底座
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP7296410B2 (ja) 2018-07-17 2023-06-22 エーエスエムエル ネザーランズ ビー.ブイ. 粒子ビーム検査装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200350188A1 (en) * 2019-05-02 2020-11-05 Intevac, Inc. Inline vacuum processing system with substrate and carrier cooling
JP7280132B2 (ja) * 2019-07-12 2023-05-23 株式会社アルバック 真空チャンバ及び基板処理装置
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
KR102541982B1 (ko) * 2022-04-14 2023-06-13 주성엔지니어링(주) 기판처리시스템 및 기판처리방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920009371B1 (ko) * 1990-05-21 1992-10-15 재단법인 한국전자통신연구소 양면 가열형 급속열처리 장치
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
JPH05160046A (ja) * 1991-12-05 1993-06-25 Kokusai Electric Co Ltd 基板加熱方法及びその装置
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JP3816929B2 (ja) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 半導体処理装置
JPH09171953A (ja) 1995-12-20 1997-06-30 Sony Corp 基板加熱装置、基板加熱方法および半導体集積回路装置、フォトマスクならびに液晶表示装置
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
TW418429B (en) * 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
WO2001072094A1 (en) * 2000-03-20 2001-09-27 Tokyo Electron Limited High speed photoresist stripping chamber
KR200206347Y1 (ko) 2000-07-24 2000-12-01 아남반도체주식회사 급속열처리시스템에서 반도체 웨이퍼의 양면냉각장치
JP2004523880A (ja) * 2000-09-15 2004-08-05 アプライド マテリアルズ インコーポレイテッド 処理装置用ダブル二重スロット式ロードロック
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20040090529A (ko) * 2003-04-17 2004-10-26 주식회사 제일 기판처리장치
JP3609077B1 (ja) * 2003-07-09 2005-01-12 東京エレクトロン株式会社 高圧熱処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101855719B (zh) * 2008-02-27 2012-06-06 东京毅力科创株式会社 负载锁定装置和基板冷却方法
CN102810498A (zh) * 2011-06-02 2012-12-05 东京应化工业株式会社 加热装置、涂敷装置及加热方法
CN106847737A (zh) * 2012-02-29 2017-06-13 应用材料公司 配置中的除污及剥除处理腔室
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
CN111213227A (zh) * 2017-10-19 2020-05-29 瑞士艾发科技 用于处理衬底的方法和设备
CN111213227B (zh) * 2017-10-19 2023-10-13 瑞士艾发科技 用于处理衬底的方法和设备
CN113728422A (zh) * 2020-03-24 2021-11-30 株式会社日立高新技术 真空处理装置
CN113728422B (zh) * 2020-03-24 2024-01-09 株式会社日立高新技术 真空处理装置

Also Published As

Publication number Publication date
KR100802671B1 (ko) 2008-02-12
KR20060106751A (ko) 2006-10-12
JP2006273563A (ja) 2006-10-12
KR20070112348A (ko) 2007-11-23
US20060245852A1 (en) 2006-11-02
TW200634973A (en) 2006-10-01
KR100854142B1 (ko) 2008-08-26
CN100426454C (zh) 2008-10-15
JP4860167B2 (ja) 2012-01-25

Similar Documents

Publication Publication Date Title
CN1841652A (zh) 负载锁定装置、处理系统及处理方法
KR101088289B1 (ko) 탑재대, 처리 장치 및 처리 시스템
CN1885488A (zh) 上部电极、等离子体处理装置和等离子体处理方法
CN1943003A (zh) 用于原位薄膜堆积制程的方法及装置
CN1924659A (zh) 基板处理装置以及基板处理系统
JP2003124284A (ja) 基板処理装置および半導体装置の製造方法
CN1992192A (zh) 半导体处理用的立式晶舟及立式热处理装置
CN1574272A (zh) 基板输送装置和基板输送方法及真空处理装置
KR101509858B1 (ko) 열처리 장치
CN1833312A (zh) 放置台结构以及具有该放置台结构的热处理装置
KR20150013628A (ko) 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
CN1855414A (zh) 处理装置系统
CN100337311C (zh) 纵型热处理装置
JP4531247B2 (ja) 真空処理装置
KR101688842B1 (ko) 기판 처리 장치
JP2006298607A (ja) 基板処理方法、基板搬送装置及び搬送装置
KR101662302B1 (ko) 히팅 모듈 및 이를 갖는 열처리 장치
KR20160141244A (ko) 기판 처리 장치 및 방법
KR102444876B1 (ko) 기판 처리 장치
CN1819113A (zh) 真空处理装置及其使用方法
TW201332041A (zh) 基板冷卻單元及基板處理設備
CN101355018B (zh) 气密模块以及该气密模块的排气方法
JP4673308B2 (ja) 真空処理装置
JP2014110294A (ja) 真空加熱炉及び有機半導体素子の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081015

Termination date: 20140329