CN1943003A - 用于原位薄膜堆积制程的方法及装置 - Google Patents

用于原位薄膜堆积制程的方法及装置 Download PDF

Info

Publication number
CN1943003A
CN1943003A CNA2005800119043A CN200580011904A CN1943003A CN 1943003 A CN1943003 A CN 1943003A CN A2005800119043 A CNA2005800119043 A CN A2005800119043A CN 200580011904 A CN200580011904 A CN 200580011904A CN 1943003 A CN1943003 A CN 1943003A
Authority
CN
China
Prior art keywords
process chamber
gas
base material
chamber
comprises following
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800119043A
Other languages
English (en)
Inventor
W·R·莫雷
Q·Y·尚
J·M·怀特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1943003A publication Critical patent/CN1943003A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本发明是提供一种用于处理薄膜堆积的群集式工具、处理室及方法的实施例。在一实施例中,是提供一种于原位而蚀刻薄膜堆积的硅层及金属层的方法,其包括的步骤为:在处理室中蚀刻该薄膜堆积的上金属层,以露出底下的硅层的一部分;及在无需将该基材从该处理室中取出之前提下,在该硅层上蚀刻出沟渠。本发明对于平板显示器的薄膜晶体管的制造特别有用。

Description

用于原位薄膜堆积制程的方法及装置
技术领域
本发明的实施例大体上是有关于金属及硅物质的多层薄膜堆积的原位移除处理的方法及设备。本发明在平板显示器的薄膜晶体管制造上特别有用。
现有技术
基材处理典型地是通过让一基材接受数个连续的处理用以在基材上产生组件、导体及绝缘体,来实施的。每一个处理通常都是在一被建构来实施该制程的单一步骤的处理室中实施的。多个处理室典型地被耦合至容纳有机械手臂的中央传送室来促进基材在处理室之间传送,藉以促进在处理平台上的一系列处理步骤的有效率的进行。一具有此架构的处理平台通常被称为一群集式工具(cluster tool),其例子有由设在美国加州Santa Clara市的Applied Material公司制造的AKT PECVD,PRODUCER,CENTRUA及ENDURA处理平台。
通常,群集式工具包含中央传送室其内设置有机械手臂。该传送室大体上被一或多个处理室所包围。处理室通常被用来处理基材,例如,实施不同的处理步骤,像是蚀刻、物理气相沉积、离子布植、微影呈像,及类此者。该传送室有时被耦合至工厂接口其容纳数个可取下的基材储存匣,每一基材储存匣都装有数片基材。装载闸室被设置在该传送室与该工厂接口之间以方便基材传送于该传送室的真空环境与该工厂接口的周遭环境之间。
使用在平板处理中的玻璃基材,像是使用在计算机监视器,大屏幕电视及用于个人数字助理(PDA)、行动电话,及类此者的制造上者,随着对于平板显示器需求的增加而变得愈来愈大。例如,使用在平板显示器的制造上的玻璃基材在数年间其面积已从550mm×650mm增加至1500mm×1800mm,且在不久的未来可预见地将超过4平方公尺。
处理系统(如群集式工具)的尺寸亦随的增加用以处理如此大型的基材。例如,用来在典型的群集式工具的处理室之间移动此大型基材的传送室的内径已从约80英寸增加至约135英寸方能容纳这种尺寸的基材。因此,将工具建构成可处理大面积基材的相关成本持续地大幅增加。
一种会被制造在该大面积基材上的典型的结构为晶体管。在晶体管的传统制造方式中,连续的制造步骤包括被重复多次的金属及硅的蚀刻、沉积、形成图样及蚀刻步骤。图14为一示范性的传统晶体管制程的流程图。因为金属蚀刻、硅蚀刻及沉积处理典型地是在分开的群集式工具中实施的,所以在大面积基材上制造晶体管的成本由于工具的数量及尺寸,以及在制造期间输送基材于工具之间的额外花费的关是而变得相当高。又,传送于工具之间的基材数量对于产品良率有着不利的影响,因为当基材从群集式工具的真空环境被移出以传送至下一个群集式工具进行下一个处理步骤时会增加受污染的潜在可能性。因此,持续使用多个工具来制造晶体管是所不想要的,特别是制造商在每一大面积基材上的大量投资。
因此,对于一种用于基材的多层薄膜堆积处理的改良的方法及设备存在着需求。
发明内容
本发明是提供一种用于在原位(in-situ)处理基材的群集式工具、处理室及方法的实施例。在一实施例中,一种群集式工具包括至少一适合薄膜堆积的硅及金属层的原位蚀刻的处理室;至少一残留物移除站;及至少一涂布站,其适合沉积钝态层于该经过蚀刻的薄膜堆积上。在另一实施例中,同一处理室被建构来实施蚀刻及沉积处理。
在本发明的另一态样中,一种处理室被提供而适用于实施薄膜堆积的硅及金属层的原位蚀刻。在另一实施例中,该处理室包括远程等离子源,用来在第一处理气体进入到该处理室之前,在第二处理气体绕过该远程等离子源被送入到该处理室内的同时,激发该第一处理气体。被施加在气体配送板与基材支撑件之间的RF功率会产生并保持等离子,该等离子是由该处理室内的气体混合物所形成的。
在另一实施例中,一种用于光阻的原位灰化处理(ashing)、薄膜堆积的硅、被掺杂的硅及金属层的蚀刻的方法被提供。该方法包含的步骤为在处理室中蚀刻该薄膜堆积的上金属层,用以曝露出底下的硅层的一部分,及在无需将该基材从该处理室中取出下,在该硅层上蚀刻掉数百埃()。
附图说明
本发明的更为特定的描述可通过参照显示于附图中的实施例而获得,使得本发明的上述特征,优点及目地可被详细地了解。
图1为用于薄膜堆积的原位处理的群集式工具的实施例的平面图;
图2A为蚀刻残留物去除站的实施例的剖面图;
图2B为涂布站实施例的剖面图;
图3为处理室的实施例的剖面图;
图4显示用于薄膜堆积的原位处理的方法的流程图,该方法可在图1所示的群集式工具内实施;
图5-13显示薄膜堆积在图4所示的方法中不同处理阶段的情形;及
图14为示范性的传统晶体管制程的流程图。
然而,应注意的是,附图中所示者为本发明的典型的实施例,因此不应被认为是本发明范围的限制,因为本发明可以有其它等效的实施例。
主要组件符号说明
100处理系统(或群集式工具、处理工具)
102传送室  104A-E处理室
106装载闸室  108传送机械手臂
110工厂接口  112基材
114匣  116接口机械手臂
126真空端口  128内部空间
140涂布站  142(蚀刻后)残留物去除站
144通道  146细缝阀
202、252本体  204、254支撑件
206、256轴  208、258举升机构
210、260举升销  212、262底部
214排放端口  216、266通道
218、268移动装置  220、270处理头
222真空喷嘴  224、274喷雾喷嘴
226气体输送喷嘴  228真空源
230、280流体源  232气体源
234、284顶部  236、286孔
300处理室  302室本体
304气体输送系统  306壁
308底部  310盖子组件
312处理空间  314抽泵空间
316穿孔区域  318气体配送板组件
320内侧  322电源
324本体  326下侧
328穿孔  330电源
332加热器  334上侧
338支撑组件  342杆
346伸缩管  348遮蔽框架
350举升销  352匹配电路
354举升板  356轴环
360排气端口  362抽泵系统
368上部  370下部
378加热器  380输送管
382清洁源  384远程等离子源
386气体面板  388电源
390气体源  400方法
402方法(或蚀刻步骤)  404(残留物去除)步骤
406(钝态化)步骤  410(传送)步骤
412(金属蚀刻)步骤  414(硅蚀刻)步骤
416(部分灰化)步骤  418(金属蚀刻)步骤
420(硅蚀刻)步骤  422(光阻移除)步骤
430晶体管  432(去除)步骤
440(传送)步骤  442(沉积)步骤
500A-I薄膜堆积  502闸极金属层
504非晶型硅(或a-硅层)  506 N+/a-硅层
508第二金属层  510光阻层
512薄区段  514厚区段
520闸极绝缘层  524通道
526条带  528外露部分
530部分  532部分
534部分  536部分
540钝态层
具体实施方式
图1显示一被建构来实施薄膜堆积的原位处理(即不需将基材从该工具移出)的一半导体处理系统或群集式工具100,该薄膜堆积包含不同的物质被形成在大面积基材上(如,具有至少0.25平方公尺的组件侧表面积的基材)。该示范性的处理工具100大体上包括一传送室102,其被一或多个处理室104A-E所包围;一工厂接口110;一或多个装载闸室106及一蚀刻后残留物移除站142。该处理工具100可非必要地包括一涂布站140。在示于图1中的实施例中,一装载闸室106被设置在该传送室102与该工厂接口110之间,用以方便基材传送于该传送室102的真空环境与该工厂接口110的周遭大气环境之间。一传送机械手臂108被设置在该传送室102的中央用以将基材移动于室104A-E及106之间。一种可受惠于本发明的处理系统为可从设在美国加州Santa Clara市的Applied Material公司的分公司AKT公司购得的25K PECVD处理系统。虽然一种用于薄膜堆积的原位蚀刻的方法及设备在本文中是通过参照此示范性处理工具100来加以说明,但应被了解的是,本发明可被应用在具有不同架构的其它处理系统中。例如,系统100可预期而由在一单一室内的多个处理区所组成。
工厂接口110容纳一或多个基材储存匣114;一接口机械手臂116;该蚀刻后残留物去除站142及该涂布站140。每一匣114都被建构成可在其内存放多片基材112。基材112典型地是由适合平板显示器、计算机监视器、大屏幕电视及用于PDA及行动电话上的显示器的制造的玻璃物质所形成。接口机械手臂116被建构成可将基材112于匣114、蚀刻后残留物去除站142及传送室102之间移动。该工厂接口110通常是被保持在或接近大气压力的状态。在一实施例中,经过过滤的空气被供应至该工厂接口110,用以将在该工厂接口110内的微粒浓度最小化并加强基材的洁净度。
图2A显示该蚀刻后残留物去除站142的一实施例。该蚀刻后残留物去除站142在蚀刻之后,通过将污染物、残留物及所不想要的物质从基材上去除掉,来准备该基材以进行沉积。
在示于图2A所示的实施例中,该蚀刻后残留物去除站142包括一设置在一室本体202内的基材支撑件204,该室本体202具有一设置于上方而可移动的处理头220。该室本体202典型地是由铝或其它适当的物质所制成。室本体202耦合至该工厂接口110,且包括一通道216用以让该接口机械手臂116(示于图1中)能够放置基材及将基材从基材支撑件204上取下。
基材支撑件204经由一轴206而耦合至一举升机构208。该轴206穿过形成在该室本体202的底部212上的孔236。该举升机构208可让基材支撑件204移动至一靠近该处理头220的上面的位置以进行处理,及移动至一下面的位置用以方便基材传送。在该下面的位置(未示出)时,被设置成穿过该基材支撑件204的举升销210撞到该室本体202的底部212,藉以在该基材支撑件204被降低时造成基材与基材支撑件204分离,因而让接口机械手臂116可接近基材。
处理头220经由一移动装置218而耦合至该室本体202的顶部234。该移动装置218被建构可将该处理头220扫掠过一放置在该基材支撑件204上的基材的整个上表面。该移动装置218可以是任何机械手臂或适合将该处理头220横向地移动于放置在该基材支撑件204上的基材112的面向上的一侧上方的x/z定位装置。
处理头220大体上包括一真空喷嘴222,一喷雾喷嘴224及一气体输送喷嘴226。该喷雾喷嘴224被耦合至一流体源230。该喷雾喷嘴224被设计成可导引一流体流,该流体流适合用来将蚀刻处理留下来的蚀刻残留物从基材上去除掉。在一实施例中,该流体源230提供去离子水至该喷雾喷嘴224。
该真空及气体输送喷嘴222、226被用来在基材被清洁之后去除掉清洁流体。在一实施例中,该真空喷嘴222被耦合至一真空源228,其在清洁循环后,将清洁流体从该基材表面抽吸掉。该气体输送喷嘴226被耦合至一气体源232,其提供一钝气至该基材表面用以帮助基材的清洁及干燥。在一实施例中,该钝气为氮气。
图2B显示该涂布站140的一实施例。该涂布站140被建构来施加一有机薄膜来让该薄膜堆积在蚀刻之后不易起化学反应。
在图2B所示的实施例中,该涂布站140包括一设置在一室本体252内的基材支撑件254,该室本体252具有一设置于上方而可移动的处理头270。该室本体252典型地是由铝或其它适当的物质所制成。室本体252耦合至该工厂接口110,且包括一通道266用以让该接口机械手臂116(示于图1中)能够放置基材及将基材从基材支撑件254上取下。
基材支撑件254经由一轴256而耦合至一举升机构258。该轴256穿过形成在该室本体252的底部262上的孔286。该举升机构258可让基材支撑件254被移动至一靠近该处理头270的上面的位置以进行处理,及移动至一下面的位置用以方便基材传送。在该下面的位置(未示出)时,被设置成穿过该基材支撑件254的举升销260撞到该室本体252的底部262,藉以在该基材支撑件254被降低时造成基材与基材支撑件254分离,因而让接口机械手臂116可接近基材。
该举升机构258或其它机构可非必要地被建构来转动该基材支撑件254。例如,该举升机构258可包括一转动作动器或马达(未示出),其耦合至该轴256而用以在涂布期间转动该基材。
处理头270是经由一移动装置268而耦合至该室本体252的一顶部284。该移动装置268被建构成可将该处理头270扫掠过一放置在该基材支撑件254上的基材的整个上表面。该移动装置268可以是任何机械手臂或适合将该处理头270横向地移动于放置在该基材支撑件254上的基材112的面向上的一例上方的x/z定位装置。
处理头270大体上包括一喷雾喷嘴274。该喷雾喷嘴274被耦合至一流体源280。该喷雾喷嘴274被设计成可导引一流体流,该流体流适合将一钝态涂料,如一有机薄膜,涂布于形成在该基材上的经过蚀刻的结构上。提供给该处理头270的扫掠移动非必要地与转动该基材相耦合,这可让涂料更均匀地施加在该基材上。
往回参照到图1,该传送室102是由一适合与处理化学物及/或清洁化学物一起使用的结构性物质制成,例如铝或钢合金。在一实施例中,该传送室102是用单件的铝合金制成的。传送室102界定出一可抽真空的内部空间128,基材经由该内部空间128被传送于耦合到该传送室102的外部上的处理室104A-E之间。该抽泵系统(未示出)经由一设置在该室楼板上的真空端口126而被耦合至该传送室102,用以保持该传送室102内的真空。在一实施例中,该抽泵系统包括一以前后并排方式耦合至一涡轮分子泵或一冷冻泵的粗抽泵。
该传送室102包括多个面用以将不同的处理室104A-E及装载闸室106安装于其上。一通道144被形成穿过每一面,用以将各别的室104A-E、106耦合至该传送室102的内部空间128。每一通道144都被一细缝阀146选择性地密封,该细缝阀146可活动于一可将各室环境隔离的闭合位置与一可让基材传送于室104,106之间的开启位置之间。每一处理室104都典型地被螺合到该传送室102的外部面上。
装载闸室106被大致耦合在该工厂接口110与该传送室102之间。装载闸室106被用来促进基材112传送于传送室102的真空环境与工厂接口110的大气环境之间时,不会丧失该传送室102内的真空。装载闸室106的每一侧都包括一基材传送通道,其被一细缝阀146(只在该装载闸室106的传送室侧上被示出)选择性地密封,用以选择性地将该装载闸室106的内部与传送室102及工厂接口110隔离开来。
基材传送机械手臂108被设置在该传送室102的内部空间128内以便于将基材112传送于包围在该传送室102周围的不同室之间。该传送机械手臂108可包括一或多个在传送期间被用来支撑基材的载盘。该传送机械手臂108可具有两个载盘,每一载盘都耦合至一独立控制的马达(其被称为双载盘机械手臂),或具有两个经由一共同的连结耦合至该传送机械手臂108的载盘。
该等处理室104A-E中的至少一者可被建构来对放置在其内的一或多片基材进行热处理或加热。一适合的热处理室被描述在由Shang等人于2001年十二月18日提申的美国专利申请第10/025,152号中,该申请案通过此参照被并于本文中。在另一实施例中,基材可在进入到该处理室之前的抽泵降压期间于装载闸室内被预先加热。
图3为处理室104E的一实施例的剖面图,其被建构为一等离子加强的处理室300。处理室300可被建构来实施蚀刻及/或化学气相沉积处理。
处理室300大体上包括一耦合至一气体输送系统304的室本体302。室本体302具有壁306及一底部308,其部分地界定一处理空间312。该处理空间312典型地是经由一形成在该壁306上的端口(未示出)而进出的,该端口可方便基材112经由该传送室102的通道144进出该室本体302。壁306及底部308可用单一铝块或其它可与制程兼容的物质来制造。
该室本体302可被热调节。例如,壁306及/或该室本体302的其它部分可通过一热控制系统而被加热及/或冷却。在示于图3的实施例中,该热控制系统被显示为一或多个埋设在该室本体302的壁306内的匣加热器378。该等匣加热器378亦可被耦合至壁306的外部。其它的温度控制装置/系统亦可被用来控制室本体302的温度。例如,一或多根导管可被形成在该室本体302内或附装在该室本体302上以供一热传递流体流过。适合控制室本体302的温度的其它温度控制装置的例子包括辐射加热器及电阻式加热器。
壁306支撑一盖子组件310,其包含一抽泵空间(plenum)314,其将该处理空间312耦合至一排气端口360(其包括不同的抽泵构件,未示出)。该抽泵空间314透过该排气端口360被耦合至一抽泵系统362。该抽泵空间314被用来输送来自该处理空间312的气体及处理的副产物,并将它们送出室本体302外。或者,一或多个排气端口可被设置在该处理室的另一部分上,例如,在有使用或没有使用一抽泵空间下穿过该室壁306或底部308。对于低压力应用而言,一或多个涡轮干燥泵可被用来达到所需要的处理压力。
一温度可受控制的基材支撑组件338被设置在该室本体302的中央。该基材支撑组件338在处理期间支撑该基材112。在一实施例中,该基材支撑组件338包含一铝本体324,其将至少一埋设的加热器332封包于其内部。
设置在该基材支撑组件338内的该加热器332,像是电阻式组件,耦合至一电源330且在控制下地将该基材支撑组件338以及置于其上的基材112加热至一预定的温度。例如,在一CVD处理中,该加热器332将基材112保持在一介于约350到至少约460℃的均匀温度,而此温度端视一被沉积在该基材112上的物质的沉积处理参数而定。在另一例子中,在一蚀刻处理中,加热器332将基材112保持在一介于约60到至少约180℃的均匀温度,而此温度端视一被沉积在该基材112上的物质的沉积处理参数而定。
大体上,该基材支撑组件338具有一下侧326及一上侧334。上侧334支撑该基材112。该下侧326具有一杆342与其相耦合。该杆342将该基材支撑组件338耦合至一举升系统(未示出)其将该基材支撑组件338移动于一升高的处理位置(如图所示)及一降低的位置,在该降低位置有利于基材来回传送于该室本体302。杆342额外地提供介于该基材支撑组件338与该处理室300的其它构件之间而供电子导线及热电耦导线用的导管。
一伸缩管346耦合到该支撑组件338(及/或杆342)与室本体302的底部308之间。该伸缩管346提供一真空密封于该处理空间312与室本体302外部的大气之间,同时可方便该基材支撑组件338的垂直运动。
该基材支撑组件338被接地,使得由电源322所提供至一位在该盖子组件310与该基材支撑组件338之间的气体配送板组件318上(或其它位在该室的盖子组件内的电极)的RF功率可激发存在于该处理空间312中介于该基材支撑组件338与该气体配送板组件318之间的空气。该气体配送板组件318具有一中央透气区,其被设置在一至少0.25平分公尺的面积上用以促进气体均匀地朝向基材流动。来自电源322的RF功率会被选择用以与该基材的尺寸相称,用以驱动该化学气相沉积及/或蚀刻处理。一般来说,该电源322适于在约13.56mHz的频率下提供约1000至约30000瓦的功率至该气体配送板组件318。一匹配电路(未示出)被提供在该电源322与该气体配送板组件318之间,用以有效率地将功率耦合至它们之间。在未来要处理较大的基材时将会有较大的功率需求。或者,该功率可从底部基材支撑板而提供。在此例子中,接地(ground)将被作在该气体配送板上。在另一实施例中,底部基材支撑板及喷气头两者都可被提供电力。在另一实施例中,RF功率可在多于一个的频率下被提供。
该基材支撑组件338额外地支撑一非必要的周边遮蔽框架348。该遮蔽框架348可防止在基材112及该支撑组件338的边缘上沉积,使得沉积处理进行时,基材不会黏在该基材支撑组件338上。
该基材支撑组件338具有复数的穿孔328,这些穿孔容纳复数根举升销350。举升销350典型地是由陶瓷或阳极化的铝所制成。举升销350具有第一端,其在举升销350位在正常位置时(即,相对于该基材支撑组件338是收回的位置),与该基材支撑组件338的上侧334齐平或稍微低一点。第一端典型地呈喇叭状展开,用以防止从穿孔328掉出来。此外,举升销350具有一第二端,其延伸超过该基材支撑组件338的下侧326。举升销350可被一举升板354相对于该基材支撑组件338被作动,用以从支撑表面突伸出,藉此以一与该基材支撑组件338间隔开来的方式放置该基材。
举升板354被设置在该基材支撑组件338的下侧326与该室本体302的底部308之间。举升板354通过一轴环356被连接至一作动器(未示出),该轴环356圈住该杆342的一部分。伸缩管346包括一上部368及一下部370,其可让杆342及轴环356独立地运动,同时保持处理空间312与在室本体302外部环境之间的隔离。举升板354被作动,用以在该基材支撑组件338及举升板354相对于彼此相靠近时,造成举升销350从上侧334延伸出。
盖子组件310提供处理空间312一个上边界。盖子组件310可被移除或开启而对室本体302进行检修。于一具体实例中,盖子组件310是由铝所制成。
盖子组件310典型地包括一进入端口330,由该气体输送系统304所提供的处理气体经由该进入端口被引入到该室本体302内。该气体输送系统304包括中央气体输送管380,其第一端耦合至该进入端口及在其第二端分叉于一远程等离子源384与一气体面板386之间。
非必要地,一清洁剂,如氧及/或解离的氟,可经由该中央气体输送管380被提供至该室本体302,用以将沉积副产物及薄膜从该处理室硬件上去除掉。该清洁剂可从该远程等离子源384,该气体源390或其它未示出的来源中的至少一个被提供。
非必要地,一蚀刻终止点监视装置可被装附到该室上,用以监视该处理的进度。终止点监视可以是光学的发射物,IR感测组成,及用来决定厚度的干涉仪。
远程等离子源384使用等离子来从该处理室300的远程产生一第一处理气体的自由基,藉以将该基材112与产生自由基相关的高能量及高温度隔离开来,并防止对于形成在该基材112上的组件的损伤。又,该远程等离子源384可通过容许在该室内有较高的处理温度,而可以有较大的处理弹性,因而可让处理进行于具有光阻或有其它低温层沉积于其上的基材上,传统上这些低温层及光阻会在高温处理时被损毁、改变、伤害及/或去除。
该远程等离子源384被耦合至一气体源390及一电源388。该气体源390提供一反应性气体,其可在被施送至该处理空间312内之前于该远程等离子源384内被激发及/或解离。由该气体源390所提供的一些气体的例子包括Cl2,BCl2,SF6,F2,NF3,及CxFy及它们与O2,N2,He,Ar的混合物中的一或多者。其它的气体亦可被用来处理在该基材上的不同的物质层。电源388将约1至30千瓦的RF或微波功率耦合至该远程等离子源384来激发及/或解离由气体源390所提供的处理气体。
气体配送板组件318耦合至该盖子组件310的内侧320。该气体配送板组件318典型地被建构成顺应基材112的轮廓,例如,用于大面积基材的多边形及用于晶圆的圆形。该气体配送板组件318包括一穿孔区域316,由该气体输送系统304所提供的处理气体及其它气体经由该穿孔区域316被提供至该处理空间312。该气体配送板组件318的穿孔区域316被建构来提供通过该气体配送板组件318而进入到该室本体302中的均匀的气体分布。受惠于本发明的可被使用的气体配送板组件的例子被描述在Keller等人于2001年八月8日提申的美国专利中请第09/922,219号及由Blonigan等人于2003年一月7日提申的美国专利申请第10/337,483中,于此将这两个专利申请的内容整体作为参考文献而并于本文中。
图4为在一群集式工具100内处理一薄膜堆积的方法400的流程图。方法400包括在该处理室300原位蚀刻一薄膜堆积(即,无需将该基材从该处理室300中移出)的至少一方法402,及非必要地包括在该群集式工具100原位地移除蚀刻残留物(即,无需将该基材从该工具100中移出)的步骤404,及在该群集式工具100原位地让该经过蚀刻的薄膜堆积不易起化学变化(钝态化)的步骤406。方法400是参照示于第5-13图中的一薄膜堆积500A-I的连续示意图来加以说明,这些连续示意图对应于方法400的不同阶段。在下文中所描述的方法400中输送的功率及气体流的范围是用来处理一1.2公尺×1.5公尺的基材。在处理小的或较大的基材时会需要其它的功率等级及气体流率。在处理期间,将该室本体302的温度保持在大致等于基材的温度是较佳的。
示范性的方法400是一个可在该处理室300内被实施的方法。例如,至少两层的原位蚀刻被示范,这些层是从金属、非晶型硅、N+硅、硅及钝态氮所构成的组群中选取的。
图5显示设置在该玻璃基材112上的薄膜堆积500A的实施例。薄膜堆积500A包括一设置在一闸极绝缘层520与该玻璃基材112之间的闸极金属层502。一半导体层被设置在该闸极绝缘层520上。在一实施例中,该半导体层包括一或多层硅层。在图5所示的实施例中,该半导体层为一被设置在该闸极绝缘层520上的非晶型硅(a-硅)层504,且一N+/a-硅层506被设置在该a-硅层504上。一第二金属层508被设置在该N+/a-硅层506上。一有图样的光阻层510被设置在覆盖于该闸极金属层502的一部分上的该第二金属层508上方。该光阻层510包括一薄区段512,其在该闸极金属层502的中心上方,并介于厚区段514之间。此种类的薄膜堆积被用在4光罩处理中。一种用于4光罩处理的方法被描述在C.W.Kim等人在SID 200 Digest,paper no.42.1,p.1006(2000)文章中。本发明要将所有蚀刻步骤在一室中完成。适合闸极金属层502的物质包括铝、铝合金、铬、钼、钛,及它们的组合。适合闸极绝缘层520的物质包括介电物质,像是SiN,SiOx。在一实施例中,闸极绝缘层520为SiNx。本文中所用的下标x代表一正整数。适合第二金属层508的物质包括铝、铝合金、铬、钼、钛,及它们的组合。
方法400在步骤410开始,在此步骤时其上设置有该薄膜堆积500A的基材112从该匣114被传送到该处理室300。当在该处理室300内时,蚀刻薄膜堆积500A的方法402是通过在步骤412蚀刻该第二金属层508的外露的部分而开始。
在一实施例中,该第二金属层508在该处理室300中通过提供0-5000sccm的BCl3及50-500sccm的含氯气体,如Cl2/HCl,而被蚀刻。非必要地,O2及/或He亦可在介于50-500sccm的流率下提供至该处理室300。通过连接1-30千瓦的功率至该远程等离子源384,而使该BCl3首先于该远程等离子源384中被激发。通过施加约5-30千瓦的RF功率至该气体配送板组件318,则一等离子从该处理室300内的气体混合物被形成。此偏压功率额外地提供活化能量来蚀刻该第二金属层508。该金属蚀刻步骤412对于该N+/a-硅层506是有选择性的,其可被用作为一蚀刻停止层。在一实施例中,步骤412包括输送约1000sccm的BCl3至该远程等离子源384,用约5千瓦的功率而激发BCl3,输送约2000sccm的Cl2/HCl至该处理室300,且用约10千瓦的RF功率来偏压该气体配送板组件。步骤412通常是在一介于约10-500mTorr的压力及在一约100±60℃的基材温度下被实施的。该金属蚀刻步骤412产生一薄膜堆积500B,其被示于图6中。使用远程等离子源384来活化,激发及/或解离至少一部分的处理气体可容许较高的处理温度,这与施加在该气体配送板组件与基材支撑件之间的功率是相一致的,藉以容许该薄膜堆积能够以一减小的导因于等离子加热的温度冲程(reduced temperature excursion)而被有效率地蚀刻。
在完成蚀刻步骤412之后,在该薄膜堆积500B上进行一硅蚀刻步骤414,而无需将该基材112从该室300中移出来。该硅蚀刻步骤414将该a-硅层504及N+/a-硅层506从底下的光阻层510及第二金属层508侧向地延伸出的外露部分528移除。该硅蚀刻步骤414产生一示于图7中的薄膜堆积500C。
在一实施例中,该a-硅层504及N+/a-硅层506通过提供含氟气体及约500-10000sccm的氧气至处理室300而在该室300中被蚀刻。该含氟气体可在该远程等离子源384内被激发及/或解离。在一实施例中,约50-2000sccm的SF6由气体源390提供,并通过耦合约5-30千瓦的功率至该远程等离子源384内的SF6气体而被解离成氟基。一来自该室300内的气体混合物的等离子是通过施加约5-30千瓦的RF功率至该气体配送板组件318而被形成。该偏压功率额外地提供活化能量来蚀刻硅层504,506。该硅蚀刻步骤414对于该介电层520是有选择性的,其可被用作为一蚀刻停止层。或者,NF3可被用来取代在任何硅蚀刻步骤中的SF6
在一实施例中,步骤414包括输送约500sccm的SF6至该远程等离子源384,用约10千瓦的功率激发SF6,输送约1000sccm的O2至该处理室300,且用约5千瓦的RF功率来偏压该气体配送板组件。步骤414通常是在一介于约10-500mTorr的压力及在一约100±60℃的基材温度下进行。
在硅蚀刻步骤414之后,一部分灰化步骤416在该处理室300内被实施于该薄膜堆积500C上。该部分灰化步骤416将该光阻层510的薄区段512去除掉,以露出该第二金属层508介于该光阻层510的厚区段514之间的部分530。在一实施例中,该光阻层510的薄区段512是通过灰化而被移除,用以露出底下的第二金属层508的一部分532。
一适合的灰化处理的例子包含从该气体源390提供介于约500-10000scm的O2至该处理室300。N2可额外地被提供至该处理室300。一来自该处理室300内的O2的等离子是通过施加约5-30千瓦的RF功率至该气体配送板组件318而获得的。该灰化步骤416在底下的第二金属层部分532露出来时即被停止。在一实施例中,步骤416包括输送约4000sccm的O2至处理室300,及用约10千瓦的RF功率偏压该气体配送板组件318。步骤416通常是在一介于约10-1000mTorr的压力及在一约100±60℃的基材温度下实施的。该部分灰化步骤416产生一被示于图8中的薄膜堆积500D。
该薄膜堆积500D的外露的第二金属层部分532在步骤418于处理室300内被蚀刻。金属蚀刻步骤418让底下的a-硅层504的一部分534露出来。在一实施例中,该金属蚀刻步骤418与步骤412的处理参数大致上相同。金属蚀刻步骤418产生一示于图9中的薄膜堆积500E。
在步骤420,该薄膜堆积500E的a-硅层504且N+/a-硅层506的蚀刻是在处理室300内进行。该硅蚀刻步骤420完全地穿过该a-硅层504及部分地穿过该N+/a-硅层506,因此在该N+/a-硅层506中形成一通道524。该通道524包括一薄的N+/a-硅物质的条带526其覆盖位在该闸极金属层502上方的闸极绝缘层520。该硅蚀刻步骤420产生一示于图10中的薄膜堆积500F。在一实施例中,该硅蚀刻步骤420与步骤414的处理参数是实质相同的。
在此阶段,会有上层金属在N+硅层上方的悬突物。非必要地,另一第二金属蚀刻步骤可如上所述地被实施用以将该悬突物修剪掉而产生一平滑的锥形物。
在步骤422,将示于薄膜堆积500F中的该光阻层510的剩下的部分536从第二金属层508上去除掉。在一实施例中,步骤422通过使用与步骤416实质相同的参数在处理室300中实施而用以去除掉光阻层510。或者,该光阻层510的剩下的部分536可通过灰化或在耦合至该群集式工具100上的另一处理室中进行的其它适当处理而去除掉。该光阻移除步骤422产生一示于图11中的薄膜堆积500G。
该经过蚀刻且包含该薄膜堆积500G的基材在步骤404被进一步处理,用以去除掉在蚀刻步骤402留下的残留物质。该残留物去除步骤404包括一将该薄膜堆积500G传送至该残留物去除站142的步骤430,用以在步骤432实施移除处理。在示于图1的实施例中,该经过蚀刻的基材通过将其经由该装载闸室106送到设置在该工厂接口110内的残留物去除站142而留在工具100的原位。非必要地,该残留物去除站142可位在该工具的其它位置,或非必要地,可位在一远离该工具100的在该FAB内的远程位置处。在蚀刻步骤402没有留下残留物的处理中,则步骤404可被省略。
在一实施例中,将残留物从该经过蚀刻的基材112上移除的步骤432包含将去离子水流过该基材来冲洗该基材用以去除残留物;将冲洗步骤中留下来的去离子水抽光;及将氮气吹到基材上用以协助将冲洗步骤时留下的水去除。残留物质可通过其它的处理被去除。残留物去除步骤432会产生示于图12所示的薄膜堆积500H。
在步骤404之后,具有该薄膜堆积500H的该经过清洁的基材在步骤406被钝态化。该钝态化步骤406包括步骤440,基材在该步骤被传送至该涂布站140以进行一沉积步骤442。处理室300(及/或耦合至该工具100的另一处理室)可被建构来实施沉积并被用作为该涂布站140另一选择。沉积步骤442大体上提供一钝态层540其是由一在该薄膜堆积500H上的介电物质所组成。适合用作为该钝态层540的物质包括氮化物、SiN及有机膜层。在一实施例中,该钝态层540是由在处理室300内施加的SiNx所组成。在另一实施例中,该钝态层540是由在该涂布站140内施加的SiNx所组成。沉积步骤442产生示于图13中的薄膜堆积500I。
在一实施例中,该沉积步骤442包括从该气体面板提供硅烷(SiH4)(100至500sccm)及在一氮气载负氮体(1000至20000sccm)中的氨(NH3)(500至2000sccm)至该处理室300。该处理室300保持在约0.8-2.0Torr。在沉积期间,基材112的温度保持在约300到至少约350℃。该气体配送板组件318被RF功率偏压,以从该处理室300内的气体混合物形成一等离子。此处理产生约2000-3000埃/分钟的氮化硅的沉积率的结果。其它适合的沉积处理可从设在美国加州Santa Clara市的Applied Material公司的分公司AKT公司获得。
可在分离的处理中而使用上文中所述的处理气体的远程激发而被蚀刻的其它的薄膜包括未掺杂的非晶型硅、N+非晶型硅、氮化硅(PECVD或其它种类)、铬、钼、铝(市面上可得的纯铝或合金铝,例如,具有Si,Nd,Cu中至少一者)及钛。未掺杂的非晶型硅及N+非晶型硅两者可用O2及NF3的气体混合物或O2及SF6的气体混合物来蚀刻。无论哪一种气体混合物都可非必要地包括Cl2。氮化硅可用O2及NF3的气体混合物、O2及SF6的气体混合物、O2及CHF3的气体混合物,或O2与包括CxFz在内的CxHyFz的气体混合物来蚀刻。铬可用O2与Cl2的气体混合物来蚀刻。钼可用氯气、O2与Cl2的气体混合物、O2及NF3的气体混合物、O2及SF6的气体混合物来蚀刻。铝及钛可用Cl2与BCL3的气体混合物或Cl2与SiCl4的气体混合物来蚀刻。
因此,是提供一种用于处理多层薄膜堆积的群集式工具及方法的不同实施例。与用传统在多个独立的工具中实施的连续处理所制造的晶体管结构比较起来,一薄膜堆积可被处理用以制造一晶体管结构而无需离开该工具,藉以大幅地减少工具及FAB足迹(footprint)数目以及设备需求数量。又,因为金属层及硅层的低温蚀刻可原位地在单一处理室内实施,所以制造时间及工具需求与传统处理的基材比较起来亦可被大幅地减少。
虽然以上所述是有关于本发明的较佳实施例,但本发明的其它及进一步的实施例可在不偏离本发明的基本范围,以及由以下的申请专利范围所界定的范围下被完成。

Claims (53)

1.一种处理室,其至少包含:
室本体;
盖子,其设置在该室本体上且具有气体进入端口;
基材支撑件,其适以支撑基材,该基材具有至少0.25平方公尺的处理侧表面积;
远程等离子源,其耦合至该气体进入端口;
气体配送板,其设置在该室本体中并位于该基材支撑件上方;及
RF功率源,其耦合到该气体配送板及基材支撑件两者中的至少一个上。
2.如权利要求1所述的处理室,其更包含:
第一气体源,其耦合至该远程等离子源,且适以让第一气体流动于该盖子与该气体配送板之间;及
第二气体源,其适以让第二气体流动于该盖子与该气体配送板之间,同时绕过该远程等离子源。
3.如权利要求1所述的处理室,其中该室本体的温度是受控制的。
4.如权利要求3所述的处理室,其中该室本体进一步包含:
至少一埋设在该室本体内的匣加热器。
5.如权利要求1所述的处理室,其中原位(in-situ)金属及硅蚀刻是可在该室本体内进行。
6.一种群集式工具,其至少包含:
传送室;
至少一处理室,其耦合至该传送室且被建构成可原位地蚀刻金属及硅;
装载闸室,其耦合至该传送室;
工厂接口,其耦合至该装载闸室;
传送机械手臂,其设置在该传送室内且被建构来传送基材于该处理室于该装载闸室之间;
接口机械手臂,其设置在该工厂接口内且被建构来将该些基材传送至该装载闸室;及
蚀刻残留物去除站,其被设置在可接收来自该接口机械手臂及该传送机械手臂两者中的至少一个的基材的位置。
7.如权利要求6所述的工具,其中该至少一处理室被建构成可处理基材,该基材具有至少0.25平方公尺的处理侧表面积。
8.如权利要求6所述的工具,其中该至少一处理室被建构来实施光阻的原位灰化处理(ashing)。
9.如权利要求8所述的工具,其中该至少一处理室被建构来实施介电物质的化学气相沉积。
10.如权利要求6所述的工具,其中该至少一处理室进一步包含:
远程等离子源。
11.如权利要求10所述的工具,其中该至少一处理室更包含:
具有表面的基材支撑件;及
气体配送板组件,其具有可穿透气体的区段,该区段设置在该至少0.25平方公尺的区域上方。
12.如权利要求11所述的工具,其中该至少一处理室更包含:
RF功率,其耦合至该基材支撑件及该气体配送板组件之间。
13.如权利要求6所述的工具,其中该接口机械手臂被建构来将该些基材传送于该蚀刻残留物去除站与该装载闸室之间。
14.如权利要求6所述的工具,其中该蚀刻残留物去除站更包含:
基材支撑件;及
清洁头,其被设置在该基材支撑件上方,且可移动在平行于该基材支撑件的平面上。
15.如权利要求14所述的工具,其中该蚀刻残留物去除站更包含:
清洁流体源;及
喷雾喷嘴,其耦合至该清洁头及清洁流体源。
16.如权利要求15项所述的工具,其中该蚀刻残留物去除站更包含:
气体源;
气体喷嘴,其耦合至该清洁头及气体源;
真空源;及
真空喷嘴,其耦合至该清洁头及真空源。
17.如权利要求6所述的工具,其更包含:
涂布站,其耦合至该工厂接口。
18.如权利要求17所述的工具,其中该涂布站被建构来施加有机薄膜。
19.一种用来处理形成在基材上的薄膜堆积的方法,该薄膜堆积具有至少一个设置在第一金属层上方的光阻层;第一硅层其位在该第一金属层的底下;第二硅层其在该第一硅层的底下;及第二金属层,其设置在该第二硅层与该基材之间,该方法至少包含以下的步骤:
在处理室中蚀刻该第一金属层的一部分,用以露出一部分的该第一硅层;及
在该处理室中蚀刻该第一硅层的该露出的部分。
20.如权利要求19所述的方法,其更包含以下的步骤:
在该处理室中蚀刻该第二硅层的因该第一硅层的蚀刻而露出的一部分。
21.如权利要求20所述的方法,其更包含以下的步骤:
穿过该光阻层而露出该金属层的一部分。
22.如权利要求21所述的方法,其中穿过该光阻层而露出该金属层的该部分的步骤更包含以下的步骤:
将该光阻层的一部分灰化。
23.如权利要求21所述的方法,其中穿过该光阻层而露出该金属层的该部分的步骤更包含以下的步骤:
去除该光阻层的较薄的区段,其是位在该光阻层的较厚区段之间。
24.如权利要求21所述的方法,其更包含以下的步骤:
蚀刻该金属层的穿过该光阻层而被露出的部分,用以露出该第一硅层的第二部分。
25.如权利要求24所述的方法,其更包含以下的步骤:
蚀刻穿过该第一硅层的该第二部分,用以露出该第二硅层的第二部分;及
蚀刻于该第二硅层中的一通道。
26.如权利要求24所述的方法,其中蚀刻该通道的步骤更包含以下的步骤:
于该通道与该第二金属层之间留下该第二硅层的一条带。
27.如权利要求22所述的方法,其中该灰化步骤于该处理室内进行。
28.如权利要求19所述的方法,其中蚀刻该第一金属层的步骤更包含以下的步骤:
提供被远程等离子源激发的第一处理气体至该处理室;及
提供第二处理气体至该处理室。
29.如权利要求28所述的方法,其中蚀刻该第一金属层的步骤更包含以下的步骤:
用RF电源对提供至该处理室的气体施加偏压。
30.如权利要求29所述的方法,其中该施加偏压的步骤更包含以下的步骤:
施加RF功率到气体配送板或设置在该室本体内的基材支撑件两者中的至少一个。
31.如权利要求28所述的方法,其中该第一处理气体为BCl3及该第二处理气体为含氯气体。
32.如权利要求19所述的方法,其中蚀刻该第一硅层的步骤更包含以下的步骤:
提供被远程等离子源激发的第一处理气体至该处理室;及
提供第二处理气体至该处理室。
33.如权利要求32所述的方法,其中蚀刻该第一硅层的步骤更包含以下的步骤:
用RF电源对提供至该处理室的气体施加偏压。
34.如权利要求33所述的方法,其中该施加偏压的步骤更包含以下的步骤:
施加RF功率到气体配送板或设置在该室本体内的基材支撑件两者中的至少一个。
35.如权利要求32所述的方法,其中该第一处理气体为SF6及该第二处理气体为O2
36.如权利要求32所述的方法,其中该第一处理气体为NF3及该第二处理气体为O2
37.如权利要求25所述的方法,其更包含以下的步骤:
通过灰化处理来将该光阻层从该薄膜堆积上去除掉;及
从该经过灰化的薄膜堆积上去除掉蚀刻残留物。
38.如权利要求37所述的方法,其中去除蚀刻残留物的步骤更包含:
将该基材传送至位在一群集式工具内的另一处理站,其中该处理室是耦合至该群集式工具上。
39.如权利要求37所述的方法,其更包含以下的步骤:
在残留物去除之后,沉积钝态层于该经过灰化的薄膜堆积上。
40.如权利要求37项所述的方法,其中该沉积步骤更包含以下的步骤:
将该基材传送至位在一群集式工具内的沉积室,其中该处理室是耦合至该群集式工具上。
41.如权利要求37项所述的方法,其中该沉积步骤更包含以下的步骤:
在该薄膜堆积被蚀刻的该处理室中沉积该钝态层。
42.如权利要求37项所述的方法,其中该灰化步骤是发生在该处理室中。
43.如权利要求37项所述的方法,其中该去除蚀刻残留物的步骤更包含以下的步骤:
将该基材传送至耦合到工厂接口上的残留物去除站。
44.如权利要求43项所述的方法,其更包含以下的步骤:
在耦合到该工厂接口的站台内沉积钝态层。
45.一种于原位而蚀刻薄膜堆积的硅层及金属层的方法,其至少包含以下的步骤:
在处理室中蚀刻该薄膜堆积的上金属层,以露出下方硅层的一部份;及
在不将该基材从该处理室移出的情形下,蚀刻沟渠于该硅层上。
46.如权利要求45所述的方法,其中蚀刻该上金属层及该硅层的步骤更包含以下的步骤:
使用光阻罩幕来形成蚀刻的图样。
47.如权利要求45所述的方法,其更包含:
在不用将该基材从群集式工具内移出的情形下,对设置在该第一金属层上的光阻层实施灰化处理,其中该处理室是耦合至该群集式工具上。
48.如权利要求47所述的方法,其更包含以下的步骤:
在不将该基材从该群集式工具中移出的情形下,将蚀刻残留物从该基材上去除掉。
49.如权利要求43所述的方法,其更包含以下的步骤:
在不将该基材从该群集式工具中移出的情形下,将介电物质沉积到该基材上。
50.一种于原位而蚀刻薄膜堆积的复数层的方法,其至少包含以下的步骤:
在处理室中蚀刻该薄膜堆积的第一层,以露出底下的第二层的一部分;及
在不将该基材从该处理室移出的情形下,蚀刻该第二层的该露出的部分,其中该第一及第二层是选自于由金属、硅、a-硅、N+硅或钝态氮化物所组成的组群中的不同物质;及其中至少一蚀刻步骤包含从该处理室的远程激发处理气体。
51.一种蚀刻在基材上的至少一层的方法,其至少包含以下的步骤:
从处理室的远程激发处理气体;
将被激发的处理气体流入该处理室;及
将功率耦合至位在该处理室内的被激发的处理气体上。
52.如权利要求51所述的方法,其中该激发步骤更包含以下的步骤:
将该处理气体流经远程等离子源;及
用5-30千瓦RF功率激发该远程等离子源内的该处理气体。
53.如权利要求51所述的方法,其中该耦合步骤更包含以下的步骤:
将5-30千瓦RF功率耦合到气体配送板与基材支撑托盘之间。
CNA2005800119043A 2004-04-08 2005-04-01 用于原位薄膜堆积制程的方法及装置 Pending CN1943003A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/821,723 2004-04-08
US10/821,723 US7358192B2 (en) 2004-04-08 2004-04-08 Method and apparatus for in-situ film stack processing

Publications (1)

Publication Number Publication Date
CN1943003A true CN1943003A (zh) 2007-04-04

Family

ID=35059359

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800119043A Pending CN1943003A (zh) 2004-04-08 2005-04-01 用于原位薄膜堆积制程的方法及装置

Country Status (6)

Country Link
US (1) US7358192B2 (zh)
JP (1) JP2007533139A (zh)
KR (1) KR20070007915A (zh)
CN (1) CN1943003A (zh)
TW (1) TWI298901B (zh)
WO (1) WO2005101100A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103403852A (zh) * 2011-03-01 2013-11-20 应用材料公司 双负载闸配置的消除及剥离处理腔室
CN103681182A (zh) * 2012-09-05 2014-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及等离子体加工设备
CN104646337A (zh) * 2013-11-19 2015-05-27 三星显示有限公司 清洁基板的设备
CN107068873A (zh) * 2016-12-27 2017-08-18 青岛黑金热工能源有限公司 用于制备钙钛矿太阳能电池的装置及方法
CN107516626A (zh) * 2013-07-19 2017-12-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP4936709B2 (ja) * 2005-11-25 2012-05-23 東京エレクトロン株式会社 プラズマエッチング方法および半導体装置の製造方法
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
KR100770792B1 (ko) * 2006-07-31 2007-10-26 세메스 주식회사 에칭부와 세정부를 겸비한 건식 에쳐
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US8281739B2 (en) * 2007-03-01 2012-10-09 Applied Materials, Inc. RF shutter
KR100856550B1 (ko) 2007-04-10 2008-09-04 (주)아이씨디 박막트랜지스터 어레이 제조 시스템
WO2009029902A1 (en) * 2007-08-31 2009-03-05 Applied Materials, Inc. Photovoltaic production line
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US7955890B2 (en) * 2008-06-24 2011-06-07 Applied Materials, Inc. Methods for forming an amorphous silicon film in display devices
US7894927B2 (en) * 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US9018098B2 (en) 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100184290A1 (en) * 2009-01-16 2010-07-22 Applied Materials, Inc. Substrate support with gas introduction openings
KR20100108715A (ko) * 2009-03-30 2010-10-08 주식회사 하이닉스반도체 비휘발성 메모리 장치의 제조방법
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
KR101904146B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103367198B (zh) * 2012-03-30 2017-12-08 盛美半导体设备(上海)有限公司 刻蚀装置及刻蚀方法
US20130323422A1 (en) * 2012-05-29 2013-12-05 Applied Materials, Inc. Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140272684A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6531422B2 (ja) * 2014-03-11 2019-06-19 東京エレクトロン株式会社 プラズマ処理装置、基板処理システム、薄膜トランジスターの製造方法及び記憶媒体
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160237570A1 (en) * 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
DE102016200506A1 (de) * 2016-01-17 2017-07-20 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN117558649A (zh) * 2017-02-10 2024-02-13 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN106966180A (zh) * 2017-03-28 2017-07-21 徐州德坤电气科技有限公司 一种钣金工厂薄平板材辅助处理单元
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113707524B (zh) * 2020-05-20 2022-06-10 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的进气结构

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) * 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) * 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3291715A (en) * 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPH0763056B2 (ja) * 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4778561A (en) * 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US4871431A (en) * 1988-07-11 1989-10-03 Ionics, Incorporated Apparatus for the removal of dissolved solids from liquids using bipolar membranes
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5045166A (en) * 1990-05-21 1991-09-03 Mcnc Magnetron method and apparatus for producing high density ionic gas discharge
US5107201A (en) * 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5592328A (en) * 1991-04-19 1997-01-07 Edge Scientific Instrument Company Llc Illumination system and method for a high definition light microscope
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
DE4244173A1 (de) * 1992-12-24 1994-06-30 Vorwerk Co Interholding Auf Basis eines Vlieses hergestellte Mattenware
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5520209A (en) * 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
KR0139346B1 (ko) * 1994-03-03 1998-06-15 김광호 박막 트랜지스터 액정표시장치의 제조방법
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5682517A (en) * 1994-06-21 1997-10-28 Pitney Bowes Inc. Method of transferring data to a memory medium in a mailing machine
JP3340250B2 (ja) * 1994-08-17 2002-11-05 株式会社東芝 バッファ回路
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5569383A (en) * 1994-12-15 1996-10-29 Delaware Capital Formation, Inc. Filter with axially and rotatably movable wiper
EP0726593A1 (en) 1995-02-13 1996-08-14 Applied Materials, Inc. A high power, plasma-based, reactive species generator
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
EP0823218A1 (fr) 1996-08-09 1998-02-11 Chilard, Jean-Claude Procédé de préparation de succedanes de poissons jeunes et extrudeuse decoupeuse pour mettre en forme de tels produits
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5770982A (en) * 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US5935077A (en) * 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6172322B1 (en) * 1997-11-07 2001-01-09 Applied Technology, Inc. Annealing an amorphous film using microwave energy
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP3431128B2 (ja) * 1998-08-05 2003-07-28 シャープ株式会社 半導体装置の製造方法
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6260894B1 (en) * 1999-05-28 2001-07-17 Applied Materials, Inc. Assembly for wafer handling system
US6432260B1 (en) 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
WO2001037316A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Temperature control system for plasma processing apparatus
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
JP2002151381A (ja) * 2000-11-09 2002-05-24 Nec Kagoshima Ltd パターン形成方法
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020132488A1 (en) * 2001-01-12 2002-09-19 Applied Materials, Inc. Method of etching tantalum
US6440753B1 (en) * 2001-01-24 2002-08-27 Infineon Technologies North America Corp. Metal hard mask for ILD RIE processing of semiconductor memory devices to prevent oxidation of conductive lines
TWI243404B (en) * 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
WO2003012567A1 (en) 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP4109135B2 (ja) * 2003-02-18 2008-07-02 株式会社日立ハイテクノロジーズ 難エッチング材のエッチング方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103403852A (zh) * 2011-03-01 2013-11-20 应用材料公司 双负载闸配置的消除及剥离处理腔室
CN103403852B (zh) * 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11177136B2 (en) 2011-03-01 2021-11-16 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
CN103681182A (zh) * 2012-09-05 2014-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及等离子体加工设备
CN103681182B (zh) * 2012-09-05 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及等离子体加工设备
CN107516626A (zh) * 2013-07-19 2017-12-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN104646337A (zh) * 2013-11-19 2015-05-27 三星显示有限公司 清洁基板的设备
CN107068873A (zh) * 2016-12-27 2017-08-18 青岛黑金热工能源有限公司 用于制备钙钛矿太阳能电池的装置及方法

Also Published As

Publication number Publication date
KR20070007915A (ko) 2007-01-16
WO2005101100A3 (en) 2006-08-24
WO2005101100A2 (en) 2005-10-27
US7358192B2 (en) 2008-04-15
US20050224181A1 (en) 2005-10-13
TWI298901B (en) 2008-07-11
JP2007533139A (ja) 2007-11-15
TW200537586A (en) 2005-11-16

Similar Documents

Publication Publication Date Title
CN1943003A (zh) 用于原位薄膜堆积制程的方法及装置
CN1717791B (zh) 基板处理容器的清洗方法
TWI736946B (zh) 用於鹵化物驅氣的處理系統及方法
CN100342518C (zh) 处理设备、处理方法、压力控制方法、传送方法以及传送设备
KR101605853B1 (ko) 반도체 웨이퍼를 에칭하기 위한 장치
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
US20160145743A1 (en) Surface treated aluminum nitride baffle
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
US20030183244A1 (en) Method of cleaning a semiconductor processing chamber
CN1976003A (zh) 半导体装置的制造方法及基板处理系统
JPH028361A (ja) 処理装置及び方法
CN1806315A (zh) Ti膜及TiN膜的成膜方法、接触结构、计算机能够读取的存储介质以及计算机程序
CN1539159A (zh) 薄膜形成装置的洗净方法
JPH08115886A (ja) 処理装置及びドライクリーニング方法
CN1622281A (zh) 半导体器件的制造方法及用于剥离抗蚀剂的清洗装置
CN1881555A (zh) 基板载置台、基板处理装置和基板载置台的制造方法
TWI767918B (zh) 電漿蝕刻方法、電漿蝕刻裝置及基板載置台
TW201028804A (en) Substrate processing method
US20200290095A1 (en) Method of forming process film
JP7427031B2 (ja) 高温腐食環境用の基板支持体カバー
CN1833312A (zh) 放置台结构以及具有该放置台结构的热处理装置
US20150191822A1 (en) Vapor deposition apparatus and method of manufacturing organic light-emitting display apparatus
CN1777986A (zh) 对被处理基板进行半导体处理的装置
JP2000294542A (ja) 気相加工方法及びその装置
CN1734724A (zh) 等离子体处理方法及后处理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20070404