TWI391034B - 用於感應耦合室的減少污染襯墊 - Google Patents

用於感應耦合室的減少污染襯墊 Download PDF

Info

Publication number
TWI391034B
TWI391034B TW096138281A TW96138281A TWI391034B TW I391034 B TWI391034 B TW I391034B TW 096138281 A TW096138281 A TW 096138281A TW 96138281 A TW96138281 A TW 96138281A TW I391034 B TWI391034 B TW I391034B
Authority
TW
Taiwan
Prior art keywords
film
coil
ruthenium
substrate
liner
Prior art date
Application number
TW096138281A
Other languages
English (en)
Other versions
TW200830942A (en
Inventor
Soo Young Choi
Qunhua Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200830942A publication Critical patent/TW200830942A/zh
Application granted granted Critical
Publication of TWI391034B publication Critical patent/TWI391034B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Description

用於感應耦合室的減少污染襯墊
本發明之實施例一般涉及一種基材處理設備及方法,例如用於平板顯示器處理設備(即,LCD、OLED及其他平板顯示器)、半導體晶圓處理、太陽能板處理等之設備及方法。
電漿增強化學氣相沉積法(PECVD)一般係用於在基材(例如矽、石英晶圓、大面積玻璃或聚合物工件)上沉積薄膜。電漿增強化學氣相沉積法一般係藉由將前驅物氣體導入真空室(含有基材)來進行。前驅物氣體一般係導引通過接近腔室頂部之分配板。藉由將RF功率由一或多個RF源施加至腔室中,以激發腔室中的前驅物氣體成為電漿。激發的氣體係經反應以在基材表面上形成材料層,且基材係放置在溫控基材支撐件上。在基材承接低溫多晶矽層的應用例中,基材支撐件可加熱至超過400℃。在反應過程中所產生的揮發性副產物係透過抽氣系統而由腔室抽出。然而,在電漿增強沉積製程中,腔室組件的濺鍍會污染所沉積之矽薄膜或是使該薄膜的品質下降,因而造成電路或元件的低效能。
因此,需要一種在PECVD室中沉積材料之改良方法及設備。
本發明係提供一種在PECVD室中沉積含矽薄膜之方法及設備。此方法及設備係特別適用於大面積玻璃基材,例如頂表面積大於550mm×650mm之基材。
在一實施例中,係提供一種電漿設備,該設備包括:一製程室;一基材支撐件,設置於製程室內;一線圈,設置於製程室內,並環繞基材支撐件,該線圈係設置以將功率感應耦合至在製程室內所形成的一電漿;以及一含矽襯墊,設置於線圈及基材支撐件之間,襯墊面向基材支撐件的一表面係以一塗層材料保護之,其中塗層材料之薄膜特性相似於含矽襯墊之薄膜特性。
在另一實施例中,係提供一種電漿設備,該設備包括:一製程室;一基材支撐件,設置於製程室內;一線圈,設置於製程室內,並環繞基材支撐件,該線圈係設置以將功率感應耦合至在製程室內所形成的一電漿;一氣體源,包括適於沉積一沉積薄膜的氣體,該些氣體係選自製程室內的至少一含矽氣體;以及一石英襯墊,設置於線圈上,襯墊面向基材支撐件的一表面係具有一塗層材料,該塗層材料之組成係相似於沉積在一基材上之沉積薄膜的組成。
在又一實施例,係提供一種利用電漿增強化學氣相沉積法而在基材上沉積一薄膜的方法,包括:將一基材設置在一製程室中,該製程室具有延伸於基材支撐組件周圍的一線圈,其中線圈係藉由一石英襯墊而與基材支撐組件隔離,且該石英襯墊由一第一含矽薄膜保護之,其中第一含矽薄膜之厚度大於10000;提供一含矽氣體至製程室中;施加功率至線圈,以將功率感應耦合至由該含矽氣體所形成之一電漿;以及在基材上沉積一第二含矽薄膜。
在另一實施例中,係提供一種電漿設備,該設備包括:一噴氣頭;一基材支撐件,與噴氣頭相對設置;一線圈;一第一功率源,耦接至噴氣頭及基材支撐件;一第二功率源,耦接至線圈;以及一矽襯墊,設置於線圈上方。
本發明之多種實施例一般係關於用以減少製程室中之污染的設備及方法,且該製程室係利用感應耦合之高密度電漿。一般來說,本發明之多個實施態樣可用於平板顯示器處理、半導體處理、太陽能電池處理或是其他基材處理。製程室包括設置在腔室中且配置在接近腔室壁的線圈。陶瓷襯墊係設置在線圈上方,並且由一塗層材料保護之,其中該塗層材料之薄膜特性係類似於陶瓷襯墊。另外,塗層材料亦與沉積在基材上之沉積薄膜具有相似之薄膜特性。因此,在電漿處理時,部分的保護性塗層材料被無意地濺鍍之實例中,當所濺鍍之材料伴隨沉積薄膜而沉積在基材上時,此濺鍍材料並不會變成一污染源。
本發明之實施例係參照用於處理大面積基材之化學氣相沉積系統而概要描述如下,此系統例如為購自加州聖克拉拉之應用材料公司的分公司AKT之電漿增強氣相沉積(PECVD)系統。然而,應了解本設備及方法在其他系統配置(例如配置以處理圓形基材之系統)中亦具有實用性。
「第1A圖」繪示電漿製程室100的剖面視圖,其可以與本發明之一或多個實施例結合使用。電漿製程室100包括室基部202以及室蓋65,藉以在製程室100中界定一室空間17。室基部202包括壁206以及底部208。室空間17包括上方製程空間18以及下方空間19,而室空間17係界定出電漿處理可能發生的區域。下方空間19係部分地由室底部208以及室壁206所界定。上方製程空間18係部分地由室蓋65、支撐室蓋65之蓋支撐件72以及設置於蓋支撐件72與室基部202之間的感應耦合源組件70所界定。
基材支撐組件238係設置於製程室100的室空間17內,並區隔上方製程空間18與下方空間19。軸桿194係穿過室基部202而將基材支撐組件238耦接至升舉系統192,而升舉系統192係使基材支撐組件238於基材傳輸位置以及處理位置之間升高及降低。
真空幫浦150係耦接至製程室100,以將室空間17維持在期望壓力下。可選擇地,可在製程室之各側設置一或多個抽氣系統178。在一實施例中,可在抽氣系統178中使用渦輪幫浦以增進抽氣傳導及低壓控制。在一實施例中,製程室100包括設置於製程室100之底部208的二或多個抽氣口,藉以連接至抽氣系統150、178。各個抽氣口係視需要而耦接至單獨的真空幫浦,例如:渦輪幫浦、粗抽幫浦以及Roots BlowerTM 幫浦,以達到所期望之腔室製程壓力,藉以增進抽氣傳導及低壓控制。
遮蔽框248係可選擇性地設置在基材240之周圍上方,藉以預防處理時在基材240邊緣發生之沉積現象。升舉銷228係可移動地穿設於基材支撐組件238上,並適以使基材240與基材承接表面234分隔開,以促進利用機械手臂而穿過進出口32來調換基材240。進出口32係界定於包括在製程室基部202之室壁206中。室壁206與室底部208可以由與製程相容之單一塊狀的鋁或其他材料製成。基材支撐組件238亦可包括接地帶(grounding strap)50,以提供基材支撐組件238周圍的RF接地。接地帶50之實例係揭露於Law等人之美國專利第6,024,044號(2000年2月15日公告)以及Park等人之美國專利申請第11/613,934號(2006年12月20日申請)。
在一實施例中,基材支撐組件238包括至少一嵌設的加熱器及/或冷卻元件232,例如設置於基材支撐組件238中的電阻加熱元件或流體通道。在一實施例中,嵌設之加熱器232係耦接至功率源274,其可利用控制器300而可控地將基材支撐組件238以及位於其上之基材240加熱至預定溫度。一般來說,在大多數的CVD製程中,針對塑膠基材,嵌設的加熱器232可將基材240維持在低於約100℃之均一溫度範圍下。可選擇地,針對玻璃基材,嵌設的加熱器232可將基材240維持在高於約400℃。
氣體分配板110係藉由懸掛件114而耦接至位於室蓋65下方之背板112的周圍。氣體分配板110亦可藉由一或多個中央支撐件116而耦接至背板112,以協助預防氣體分配板110之下彎及/或控制氣體分配板110之平直度/曲度。在一實施例中,氣體分配板110可具有不同之配置及尺寸。在一示範性實施例中,氣體分配板110係為四邊形氣體分配板。氣體分配板110具有上表面198以及面向基材支撐組件238的下表面196。上表面198面向背板112之下表面。氣體分配板110包括複數個穿設之孔洞111,並且面向設置於基材支撐組件238上的基材240之上表面。孔洞111可具有不同之形狀、數量、輪廓、密度、尺寸以及於氣體分配板110上之分佈。氣體源154係耦接至背板112以提供氣體至界定於氣體分配板110與背板112之間的充氣部66。充氣部66係允許來自氣體源154之氣體流入充氣部66、190,使氣體在橫跨氣體分配板110之寬度而均勻分佈,並均一地流經孔洞111。氣體分配板110通常由鋁(Al)、陽極化鋁或其他RF傳導材料製成。氣體分配板110係藉由電性絕緣件(圖中未示)而與室蓋65為電性隔絕。在一實施例中,可由包括含矽氣體之氣體源154提供氣體。含矽氣體之適當實例包括SiH4 、TEOS、Si2 H6 及類似者。其他製程氣體(例如載氣或惰性氣體)亦可提供至製程室以供進行製程。載氣之適當實例包括N2 O、NH3 、N2 及類似者,惰性氣體之適當實例包括He及Ar。
例如為感應耦合遠端電漿源之清洗源120可耦接於氣體源154與背板112之間。清洗源120一般提供清洗劑(例如解離氟)以移除基材處理完成之後殘留的沉積副產物及沉積材料。舉例來說,在處理基材之間,清洗氣體可以在清洗源120中被激發,以提供用於清洗腔室組件之遠端電漿。清洗氣體可以由功率源132提供給氣體分配板110之RF功率而進一步激發。適當之清洗氣體包括但不限於為NF3 、F2 及SF6 。遠端電漿源的實例係揭露於Shang等人之美國專利第5,788,778號(1998年8月4日公告)。
RF功率源132係透過RF阻抗匹配元件130而耦接至背板112及/或氣體分配板110,以提供RF功率而在氣體分配板110及基材支撐組件238之間產生電場,藉此,由氣體所產生之電漿係存在於製程空間18中。可使用多個RF頻率,例如介於0.3 MHz~約200 MHz之間的頻率。在一實施例中,RF功率源係在13.56 MHz之頻率下提供。氣體分配板之實例係揭露於White等人之美國專利第6,477,980號(2002年11月12日公告)、Choi等人之美國專利公開第20050251990號(2005年11月17日公開),以及Keller等人之美國專利公開第2006/0060138號(2006年3月23日公開)。
室蓋65包括耦接至外部真空抽氣系統152之上方抽氣用之充氣部63。上方抽氣用之充氣部63可以用作為上方抽氣口,以均勻地將氣體及製程副產物由製程空間18排出。上方抽氣用之充氣部63係通常形成於室蓋65內或附接至室蓋65,並以板68覆蓋而形成抽氣通道61。蓋支撐件72係設置於感應耦合源組件70上,其將參照「第1B~C圖」而詳細討論之,並且亦可用於支撐室蓋65。真空抽氣系統152係視需要而可包括真空幫浦,例如:渦輪幫浦、粗抽幫浦以及Roots BlowerTM 幫浦,以達到所期望之腔室製程壓力。
參照「第1B及1C圖」,感應耦合源組件70包括RF線圈82、支撐結構76、襯墊80及多種絕緣部件(例如:內部絕緣件78、外部絕緣件90等)。支撐結構76包括設置於蓋支撐件72下方的支撐構件84。支撐構件84與蓋支撐件72係為接地之金屬部件,以支撐室蓋65。RF線圈82係由數個部件所支撐及圍繞,其可預防RF功率由RF功率源140輸送至線圈82而造成支撐結構76發生電弧現象(arcing)或導致接地腔室部件(例如:製程室基部202等)之大幅損耗。襯墊80係附接至支撐結構76。襯墊80係屏蔽住RF線圈82,而使其不與電漿沉積化學物質作用、不被電漿處理過程中產生之離子或中子轟擊、或是不與腔室清洗化學物質作用。若不包含有襯墊80,在處理過程中所產生之具侵犯性的離子及腐蝕性物種會攻擊RF線圈82以及腔室部件之其他部分,因而造成微粒釋出並使污染進入製程室100。利用襯墊80來屏蔽及覆蓋RF線圈82及腔室組件的鄰近部分,RF線圈82及室壁可有效地受到保護,藉以降低可能的製程缺陷及污染,並增加腔室部件之壽命。
在一實施例中,襯墊80可以為連續環、帶或是由RF線圈82所環繞之重疊部分的陣列之形式,以預防線圈82暴露於製程空間18。可選擇地,襯墊80具有環形主體,其係由具有電漿及/或化學物質抗性之材料製成,及/或塗覆有電漿及/或化學物質抗性之材料。襯墊80可以由具有電漿及/或化學物質抗性之材料製成。在一實施例中,襯墊80係由陶瓷材料及/或與製程相容之介電材料製成,及/或塗覆有陶瓷材料及/或與製程相容之介電材料。陶瓷材料之適當實例包括含矽材料,例如:氧化矽、碳化矽、氮化矽、或石英,或其他材料(例如氮化鋁或氧化鋁),或稀土族金屬材料,例如釔或其氧化物。在一實施例中,襯墊80係由可傳導施加至腔室中之線圈的功率之材料製成,藉以允許將功率感應耦合至電漿。上述之可傳導襯墊材料之適當實例為Al3 O2 。在另一實施例中,襯墊80係由含矽材料製成及/或塗覆有含矽材料。含矽材料之一實例為石英。於另一實施例中,襯墊80之材料係實質相似於待沉積於基材上之材料,藉此,待沉積於基材上之材料不會被污染。襯墊80的厚度為0.1~4英吋,例如約0.25英吋及約1.5英吋。在製程室100為四邊形配置之實施例中,襯墊80亦可配置為四邊形環以圍繞在室壁周圍的RF線圈82。可選擇地,襯墊80可以為任何配置形式以符合不同之製程需求。
另外,多種絕緣部件(例如:內部絕緣件78及外部絕緣件90)可用於支撐RF線圈82,並將RF線圈82與電性接地之支撐結構76隔離。絕緣部件通常由電性絕緣材料製成,例如:TEFLON聚合物或陶瓷材料。真空饋通管83係附接至支撐結構76以承接及支撐RF線圈82,並預防大氣洩漏至上方製程空間18。支撐結構76、真空饋通管83及多個O型圈85、86、87、88、89形成一真空密閉結構以支撐RF線圈82及氣體分配板110,並允許RF線圈82與上方製程空間18連通而無傳導阻礙(該阻礙會抑制RF場之產生)。
往回參照「第1A圖」,RF線圈82係透過RF阻抗匹配網絡138而連接至RF功率源140。在此實施例中,RF線圈82係作為感應耦合RF能量傳導元件,藉以在製程空間18中產生電漿及/或控制之。亦可提供動態阻抗匹配給RF線圈82。利用控制器300以及安裝在製程空間18周圍的RF線圈82而可控制基材表面240A上方之電漿,並使該電漿定位及成形。
RF線圈82可以為單匝線圈。就其本身而論,以單匝線圈做為尾端之線圈82可影響在電漿製程室100中產生之電漿的均一性。當將線圈尾端部分重疊係為不實用或不期望發生時,線圈尾端之間會出現如「第2圖」所示之間隔區域A。由於線圈之缺失長度以及在線圈輸入端82A及輸出端82B的RF電壓交互作用,間隔區域A可能會造成在接近間隔區域A處產生較弱的RF磁場。在此區域中較弱的磁場對於腔室中之電漿均一度具有負面影響。為了解決此可能發生之問題,RF線圈82與接地之間的電抗可利用可變誘導器而連續地或重複地調整,此誘導器係使RF電壓分佈轉移或旋轉,因此,沿著RF線圈82所產生之電漿的電漿非均一性被時間平均化(time averaging),並降低在線圈末端的RF電壓交互作用。調整RF線圈82以及接地之間的電抗以轉移線圈中的RF電壓分佈之示範性方法係更進一步描述於2001年7月3日所公告之美國專利第6,254,738號,專利名稱為「Use of Variable Impedance Having Rotating Core to Control Coil Sputtering Distribution」。藉此,製程空間18中所產生之電漿係透過改變RF電壓分佈而進行之電漿分佈的時間平均化而可更為均一且軸向對稱控制。沿著RF線圈82之RF電壓分佈可以影響電漿之多種特性,包括電漿密度、RF電位分佈及電漿暴露表面(包括基材240)之離子轟擊。
往回參照「第1A圖」,氣體分配板110可以經過RF偏壓,藉此,可利用阻抗匹配元件130、RF功率源132及控制器300而可控制製程空間18中所產生之電漿,並使其成形。RF偏壓之氣體分配板110係作為電容耦合RF能量傳導元件,其可在製程空間18內產生電漿並控制之。
再者,RF功率源136可透過阻抗匹配元件134而施加RF偏壓功率至基材支撐組件238。利用RF功率源136、阻抗匹配元件134以及控制器300,使用者可以控制在製程空間18內產生之電漿、控制基材240之電漿轟擊以及改變基材表面240A上方之電漿鞘厚度。RF功率源136以及阻抗匹配元件134可利用一或多個接地之連接件而使基材支撐組件238接地。
在操作過程中,利用控制器300而可將功率獨立地施加至RF線圈82、氣體分配板110及/或基材支撐組件238。藉由改變施加至RF線圈82、氣體分配板110及/或基材支撐組件238的RF功率,則可改變製程空間18中所產生電漿的密度,此乃因為電漿離子密度係直接受到所產生之磁場強度及/或電場強度影響。電漿之離子密度亦透過調整處理壓力,及/或調整輸送至RF線圈82及/或氣體分配板110的RF功率而增加或降低。
在一或多個基材於製程室100處理之後,一般係進行清洗處理以移除沉積及累積在室壁上之沉積副產物。在利用清洗氣體而充分清洗室壁,且清洗副產物已排出腔室外之後,會在製程室內進行調節處理(seasoning process)。調節處理係進行以在腔室之部件上沉積一調節薄膜,並藉以在其中密封殘留之污染物,且降低製程中可能產生之污染或是室壁之剝落。調節處理包括根據接續之沉積製程配方(process recipe)而在腔室之內表面上塗覆一材料(例如調節薄膜)。換句話說,調節薄膜之材料可經選擇而與接續沉積在基材上之薄膜具有相似之組成或薄膜特性。然而,傳統調節薄膜對於室壁/腔室組件的不良黏附情形通常會造成調節薄膜在數次沉積循環及/或清洗處理之後剝落。另外,調節薄膜、下方腔室部件及利用接續沉積製程而漸漸累積在調節薄膜上之沉積薄膜之間的不良黏附情形以及不相容的薄膜特性會變成另一污染源,而可在製程中造成製程缺陷。因此,係相信傳統之沉積調節薄膜的薄層(例如小於5000)之技術可提供調節薄膜與下方室壁及待沉積之沉積薄膜之間良好的界面控制。具有較高厚度(大於5000)之調節薄膜係已知具有較高之薄膜剝落情形以及與下方腔室部件之不良黏附性的發生可能性,因而增加製程中的污染源。
在本發明所描述之實施例中,利用謹慎選擇相似之下方襯墊材料而使具有厚度大於10,000之增強型調節薄膜(enhanced seasoning film)變為可能。增強型調節薄膜對於下方腔室部件及待沉積薄膜具有高黏附性。在此處所述之示範性實施例中,增強型調節薄膜係為一介電薄膜,其在製程室100之薄膜沉積及/或清洗處理進行之後施加至腔室壁上。增強型調節薄膜與下方腔室部件(例如:襯墊80)及沉積在基材上的薄膜具有相似的薄膜組成,藉以減少製程室100之污染。如上所述,當利用襯墊80來提供室壁之至少一部分與嵌設在室壁內之RF線圈82之間的阻障時,調節薄膜則至少部分地沉積在面向基材支撐組件238之襯墊80表面,或與其接觸。襯墊80係由陶瓷材料製成(例如:含矽材料),則調節薄膜(例如介電薄膜)具有與陶瓷襯墊80相似之薄膜特性,藉以在其間提供良好的界面特性。當調節薄膜及陶瓷襯墊80(例如含矽襯墊)之間的結合界面經過強化之後,則可使用較厚的調節薄膜來保護腔室部件、RF線圈82及其他腔室設備部件,藉此可降低腔室污染以及製程副產物缺陷。再者,當下方腔室部件及RF線圈82現已被雙層薄膜(例如:塗覆之襯墊80及增強型調節薄膜)保護時,腔室部件及RF線圈82的壽命亦會增加,因而降低總製造花費,並確保透過RF線圈82所產生之感應電漿功率之較佳控制。
在一實施例中,可以利用一氣體混合物而將調節薄膜沉積在腔室內表面及襯墊80上,而此氣體混合物與在調節製程之後在製程室100中進行的沉積製程所利用之氣體混合物相同。塗覆調節薄膜之製程參數可以與接續沉積製程之參數相同或不同,以符合不同製程需求。在調節製程中,矽前驅物氣體、含氧或含氮氣體以及載氣係流入製程室100中,而RF功率源132、136、140提供射頻能量以激發前驅物氣體並促使調節薄膜沉積製程進行。在示範性實施例中,沉積製程係設置以沉積氧化矽薄膜,至少包括矽前驅物、含氧氣體及惰性氣體(例如氬氣或氦氣)之氣體混合物可供應至製程室100以供調節薄膜沉積。可選擇地,在另一示範性實施例中,沉積製程係設置以沉積氮化矽薄膜,至少包括矽前驅物、含氮氣體及惰性氣體之氣體混合物可供應至製程室中以供調節薄膜沉積。
在示範性實施例中,含矽襯墊80係由石英製成。在含矽襯墊80為石英之實施例中,接續塗覆於其上之調節薄膜亦為含矽薄膜,藉此有效增進石英襯墊與含矽薄膜之間的黏附性。含矽薄膜之適當實例包括氧化矽、氮化矽、無晶矽、微晶矽、結晶矽、多晶矽、摻雜矽薄膜等。
在一實施例中,用於調節處理之矽前驅物的流速可介於約10 sccm~約20000 sccm之間。含氧或含氮氣體之流速介於約20 sccm~約50000 sccm之間。惰性氣體之流速係介於約100 sccm~約10000 sccm之間。舉例來說,在利用SiH4 氣體作為薄膜沉積之矽前驅物的實施例中,SiH4 氣體與含氧或含氮氣體之比例係控制在約1:2~約1:5。在利用TEOS氣體作為薄膜沉積之矽前驅物的實施例中,TEOS氣體與含氧或含氮氣體之比例係控制在約1:5~約1:20。約2000瓦~30000瓦之間的RF功率可供應至氣體混合物。可調整RF功率及氣體流速以使沉積的調節薄膜具有不同之矽與氧化物之比例,藉以提供與接續之待沉積薄膜之間良好的黏附情形。再者,可調整RF功率及氣體流速以控制調節薄膜之沉積速率,藉以有效地沉積調節薄膜,並使其具有期望之厚度,以提供對於下方襯墊80、腔室部件及待沉積薄膜之良好的保護力及黏附性。在一實施例中,調節處理可進行約300秒~約900秒,且同時,沉積速率維持在約500/min~約2000/min。在一實施例中,調節薄膜之厚度係大於約10000,例如15000
在本發明之部分實施例中,沉積製程可利用TEOS或其他矽前驅物以沉積含矽材料。含矽層可以為下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。塗覆在襯墊80及室壁上的調節薄膜可以根據接續進行之沉積製程(以在基材上沉積薄膜)而調整及改變。在一實施例中,調節薄膜可以與沉積在基材上之沉積薄膜為相同的材料製成。在一實施例中,調節薄膜可以為下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。在調節薄膜係經選擇而與沉積在基材上之沉積薄膜為相同材料之實施例中,調節薄膜與塗覆在其上之沉積薄膜之相似薄膜特性可以促進兩者之間的黏附性及界面結合力。另外,在部分調節薄膜無意地被電漿所濺鍍攻擊之實例中,當調節薄膜與沉積薄膜具有相似薄膜特性時,則伴隨沉積薄膜而沉積在基材上之濺鍍或剝落材料不會成為污染源。因此,藉由控制襯墊80、調節薄膜以及沉積薄膜之間的薄膜特性相容性,則污染以及微粒缺陷來源可以有效地控制之。
在本發明之部分實施例中,沉積製程亦可利用多種製程而形成高品質閘極介電層,該些製程包括高密度電漿氧化(HDPO)製程。HDPO製程之其他細節係描述於共同受讓之美國專利申請序號第10/990,185號(2004年11月16日申請),專利名稱為「Multi-Layer High Quality Gate Dielectric For Low-Temperature Poly-Silicon TFTs」。
因此,係提供一種電漿增強化學氣相沉積之設備,其可在基材上沉積介電薄膜並可有效控制污染。利用陶瓷襯墊覆蓋住RF線圈,並結合增強型調節薄膜,則可獲得良好的腔室內部表面保護以及低腔室污染。該設備可有利地在處理期間提供保護RF線圈及設置在製程室內的腔室部件遠離電漿攻擊之良好方法,藉以有效地降低製程缺陷及腔室污染。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇。
17...室空間
18...製程空間
19...下方空間
32...進出口
50...接地帶
61...抽氣通道
63...充氣部
65...室蓋
66...充氣部
68...板
70...感應耦合源組件
72...蓋支撐件
76...支撐結構
78...內部絕緣件
80...襯墊
82...線圈
82A...輸入端
82B...輸出端
83...真空饋通管
84...支撐構件
85~89...O型圈
90...外部絕緣件
100...製程室
110...氣體分配板
111...孔洞
112...背板
114...懸掛件
116...中央支撐件
120...清洗源
130...匹配元件
132...功率源
134...阻抗匹配元件
136...功率源
138...阻抗匹配網絡
140...功率源
150...真空幫浦/抽氣系統
152...抽氣系統
154...氣體源
178...抽氣系統
190...充氣部
192...升舉系統
194...軸桿
196...下表面
198...上表面
202...基部
206...壁
208...底部
228...升舉銷
232...加熱器/冷卻元件
234...基材承接表面
238...基材支撐組件
240...基材
240A...基材表面
248...遮蔽框
274...功率源
300...控制器
A...間隔區域
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1A圖,繪示電漿製程室的概要剖面視圖,其可結合本發明之一或多個實施例使用;第1B及1C圖,繪示第1A圖之感應耦合源組件之剖面視圖;以及第2圖,繪示電漿製程室的上方等角視圖,其可結合本發明之一或多個實施例使用。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。
然而,應注意所附圖式僅繪示本發明之示範性實施例,因此不可用以限制本發明之範疇,本發明應承認其等效實施例。
17...室空間
18...製程空間
19...下方空間
32...進出口
50...接地帶
61...抽氣通道
63...充氣部
65...室蓋
66...充氣部
68...板
70...感應耦合源組件
72...蓋支撐件
76...支撐結構
100...製程室
110...氣體分配板
111...孔洞
112...背板
114...懸掛件
116...中央支撐件
120...清洗源
130...匹配元件
132...功率源
134...阻抗匹配元件
136...功率源
138...阻抗匹配網絡
140...功率源
150...真空幫浦/抽氣系統
152...抽氣系統
154...氣體源
178...抽氣系統
190...充氣部
192...升舉系統
194...軸桿
196...下表面
198...上表面
202...基部
206...壁
208...底部
228...升舉銷
232...加熱器/冷卻元件
234...基材承接表面
238...基材支撐組件
240...基材
240A...基材表面
248...遮蔽框
274...功率源
300...控制器

Claims (21)

  1. 一種電漿設備,包括:一製程室,界定一製程空間;一基材支撐件,設置於該製程室之該製程空間內;一線圈,設置於該製程室內,並環繞該基材支撐件,該線圈係設置以將功率感應耦合至在該製程室之該製程空間內所形成的一電漿;以及一含矽襯墊,設置於該線圈及該基材支撐件之間,該襯墊面向該基材支撐件的一表面係以一塗層材料保護,其中該塗層材料之薄膜特性相似於該含矽襯墊之薄膜特性,且該含矽襯墊係設置以防止該線圈曝露於該製程空間中之該電漿。
  2. 如申請專利範圍第1項所述之設備,其中該塗層材料係一調節薄膜(seasoning film),該調節薄膜係藉由一調節製程而形成,該調節製程包括依據一後續沉積製程而塗覆該製程室之內側表面。
  3. 如申請專利範圍第2項所述之設備,其中該調節薄膜為一含矽材料。
  4. 如申請專利範圍第1項所述之設備,其中該塗層材料的厚度為大於約10000Å。
  5. 如申請專利範圍第4項所述之設備,其中該塗層材料的厚度為約15000Å。
  6. 如申請專利範圍第1項所述之設備,其中該塗層材料為下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。
  7. 如申請專利範圍第1項所述之設備,更包括:二抽氣口,係包括在該製程室內。
  8. 如申請專利範圍第1項所述之設備,其中該含矽襯墊為一石英材料。
  9. 一種電漿設備,包括:一製程室,界定一製程空間;一基材支撐件,設置於該製程室之該製程空間內;一線圈,設置於該製程室內,並環繞該基材支撐件,該線圈係設置以將功率感應耦合至在該製程室之該製程空間內所形成的一電漿;一氣體源,包括適於沉積一沉積薄膜的氣體,該些氣體係選自該製程室內的至少一含矽氣體;以及 一石英襯墊,設置於該線圈上,該襯墊面向該基材支撐件的一表面係具有一塗層材料,該塗層材料之組成係相似於沉積在一基材上之該沉積薄膜的組成,其中該石英襯墊係設置以防止該線圈曝露於該製程空間中之該電漿。
  10. 如申請專利範圍第9項所述之設備,其中該含矽氣體為下列至少其中之一者:矽烷(SiH4 )、四乙氧基矽烷(TEOS)及二矽烷(Si2 H6 )。
  11. 如申請專利範圍第9項所述之設備,其中該塗層材料為一含矽材料,該含矽材料係選自下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。
  12. 如申請專利範圍第9項所述之設備,其中沉積在該基材上之該沉積薄膜為下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。
  13. 如申請專利範圍第9項所述之設備,其中該塗層材料與該沉積薄膜係由相同材料製成。
  14. 如申請專利範圍第9項所述之設備,其中該塗層材料的 厚度為大於約10000Å。
  15. 一種利用電漿增強化學氣相沉積法而在一基材上沉積一薄膜的方法,包括以下步驟:將一基材設置在一製程室中,該製程室具有延伸於一基材支撐組件周圍的一線圈,其中該線圈係藉由一石英襯墊而與該基材支撐組件隔離,且該石英襯墊由一第一含矽薄膜保護,其中該第一含矽薄膜之厚度大於10000Å;提供一含矽氣體至該製程室中;施加功率至該線圈,以將功率感應耦合至由該含矽氣體所形成之一電漿;以及在該基材上沉積一第二含矽薄膜,其中該第一含矽薄膜和該第二含矽薄膜之組成相似。
  16. 如申請專利範圍第15項所述之方法,其中該第一含矽薄膜及該第二含矽薄膜為下列至少其中之一者:非晶矽、微晶矽薄膜(μc-Si)、摻雜矽、氧化矽(SiOx )或氮化矽、氮氧化矽、非晶碳及碳化矽。
  17. 如申請專利範圍第15項所述之方法,其中上述之在該基材上沉積該第二含矽薄膜之步驟更包括以下步驟:在該基材上沉積該第二含矽薄膜之同時,將該第二含矽薄膜沉積在該第一含矽薄膜上。
  18. 如申請專利範圍第15項所述之方法,其中該第一含矽薄膜及該第二含矽薄膜為相同材料。
  19. 如申請專利範圍第15項所述之方法,其中該第一含矽薄膜係塗覆在該石英襯墊面向該基材支撐組件之一部分上。
  20. 如申請專利範圍第15項所述之方法,更包括以下步驟:在沉積該第二含矽薄膜之過程中,同時從二抽氣口將氣體自該製程室中移除。
  21. 一種電漿設備,包括:一噴氣頭;一基材支撐件,與該噴氣頭相對設置;一線圈,環繞該基材支撐件;一第一功率源,耦接至該噴氣頭及該基材支撐件;一第二功率源,耦接至該線圈;以及一矽襯墊,設置於該線圈上方,其中面向該基材支撐件的該襯墊之一表面具有一塗層材料,以保護該襯墊之該表面,該塗層材料之薄膜特性相似於該矽襯墊之薄膜特性,該襯墊係設置以防止該線圈曝露至於該基材支撐件和該噴氣頭間的製程化學物質。
TW096138281A 2006-10-12 2007-10-12 用於感應耦合室的減少污染襯墊 TWI391034B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US82927906P 2006-10-12 2006-10-12
US11/866,490 US20080118663A1 (en) 2006-10-12 2007-10-03 Contamination reducing liner for inductively coupled chamber

Publications (2)

Publication Number Publication Date
TW200830942A TW200830942A (en) 2008-07-16
TWI391034B true TWI391034B (zh) 2013-03-21

Family

ID=39283198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096138281A TWI391034B (zh) 2006-10-12 2007-10-12 用於感應耦合室的減少污染襯墊

Country Status (3)

Country Link
US (2) US20080118663A1 (zh)
TW (1) TWI391034B (zh)
WO (1) WO2008045794A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
JP5221421B2 (ja) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US9057146B2 (en) * 2010-08-24 2015-06-16 Varian Semiconductor Equipment Associates, Inc. Eddy current thickness measurement apparatus
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US20130115418A1 (en) * 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
KR102357845B1 (ko) * 2013-12-02 2022-01-28 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP2017212361A (ja) * 2016-05-26 2017-11-30 東京エレクトロン株式会社 プラズマ処理装置及びパーティクル付着抑制方法
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6723659B2 (ja) * 2017-01-12 2020-07-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
USD856798S1 (en) 2017-11-03 2019-08-20 Eli Lilly & Company Container assembly
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
SG11202010548YA (en) * 2018-07-31 2021-02-25 Applied Materials Inc On stack overlay improvement for 3d nand
US10923327B2 (en) 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
CN113613671A (zh) 2019-03-29 2021-11-05 伊莱利利公司 药物递送系统和方法
TW202122909A (zh) * 2019-10-25 2021-06-16 美商應用材料股份有限公司 減少極紫外遮罩毛坯缺陷之方法
CN114901859A (zh) * 2019-11-01 2022-08-12 应用材料公司 减少缺陷的沉积工艺
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
WO2024076480A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Annular pumping for chamber

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5238866A (en) * 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
TW249313B (zh) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6680489B1 (en) * 1995-12-20 2004-01-20 Advanced Technology Materials, Inc. Amorphous silicon carbide thin film coating
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6204604B1 (en) * 1998-02-09 2001-03-20 Micron Technology, Inc. Method and apparatus for controlling electrostatic coupling to plasmas
US6254738B1 (en) * 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
GB2344930B (en) * 1998-12-17 2003-10-01 Trikon Holdings Ltd Inductive coil assembly
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
US6518705B2 (en) * 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
GB0003185D0 (en) * 2000-02-12 2000-04-05 Koninkl Philips Electronics Nv An insulated gate field effect device
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6685799B2 (en) * 2001-03-14 2004-02-03 Applied Materials Inc. Variable efficiency faraday shield
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
JP3903730B2 (ja) * 2001-04-04 2007-04-11 松下電器産業株式会社 エッチング方法
US6824658B2 (en) * 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7718042B2 (en) * 2004-03-12 2010-05-18 Oc Oerlikon Balzers Ag Method for manufacturing sputter-coated substrates, magnetron source and sputtering chamber with such source
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
JP5583413B2 (ja) * 2007-02-28 2014-09-03 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法

Also Published As

Publication number Publication date
US20120009356A1 (en) 2012-01-12
US20080118663A1 (en) 2008-05-22
WO2008045794A1 (en) 2008-04-17
TW200830942A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US20190233940A1 (en) Treatment methods for silicon nitride thin films
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
US6576063B2 (en) Apparatus and method for use in manufacturing a semiconductor device
US7723218B2 (en) Plasma CVD apparatus
US9230796B2 (en) A-Si seasoning effect to improve SiN run-to-run uniformity
US20060046506A1 (en) Soft de-chucking sequence
US8394231B2 (en) Plasma process device and plasma process method
US20080282982A1 (en) Apparatus and method for deposition over large area substrates
US10577689B2 (en) Sputtering showerhead
TWI811421B (zh) 用於處理腔室的塗層材料
TW202236359A (zh) 用於沉積殘留物控制的系統和方法
US20230335377A1 (en) Showerhead assembly with heated showerhead
US20230377855A1 (en) Lower deposition chamber ccp electrode cleaning solution
JP3808339B2 (ja) 薄膜形成方法
JP2001131752A (ja) プラズマクリーニング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees