JP5221421B2 - シャワーヘッド及びプラズマ処理装置 - Google Patents

シャワーヘッド及びプラズマ処理装置 Download PDF

Info

Publication number
JP5221421B2
JP5221421B2 JP2009056523A JP2009056523A JP5221421B2 JP 5221421 B2 JP5221421 B2 JP 5221421B2 JP 2009056523 A JP2009056523 A JP 2009056523A JP 2009056523 A JP2009056523 A JP 2009056523A JP 5221421 B2 JP5221421 B2 JP 5221421B2
Authority
JP
Japan
Prior art keywords
shower head
heat conduction
heat
conduction column
mounting table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009056523A
Other languages
English (en)
Other versions
JP2010212425A (ja
Inventor
八城 飯塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009056523A priority Critical patent/JP5221421B2/ja
Priority to US12/719,175 priority patent/US8282769B2/en
Priority to TW099106764A priority patent/TWI514932B/zh
Priority to KR20100021039A priority patent/KR101493110B1/ko
Priority to CN2010101323967A priority patent/CN101834120B/zh
Publication of JP2010212425A publication Critical patent/JP2010212425A/ja
Application granted granted Critical
Publication of JP5221421B2 publication Critical patent/JP5221421B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

本発明は、内部で基板を処理する処理チャンバーに設けられ、基板に向けてガスをシャワー状に供給するためのシャワーヘッド及びプラズマ処理装置に関する。
従来から、半導体装置の製造分野等においては、半導体ウエハ等の基板に向けてガスをシャワー状に供給するためのシャワーヘッドが用いられている。すなわち、例えば半導体ウエハ等の基板にプラズマエッチング処理を施すプラズマ処理装置では、処理チャンバー内に、基板を載置するための載置台が設けられており、この載置台と対向するように、シャワーヘッドが設けられている。このシャワーヘッドには、載置台と対向する対向面に、ガス吐出孔が複数設けられており、これらのガス吐出孔から基板に向けてガスをシャワー状に供給する。
また、上記したプラズマ処理装置では、処理チャンバー内にプラズマを発生させるため、シャワーヘッドの温度が上昇する。このため、ファン等を設けてシャワーヘッドの部分を空冷するよう構成されたプラズマ処理装置が知られている(例えば、特許文献1参照。)。また、シャワーヘッドの部分に、温度調節媒体を循環させるようにして冷却するよう構成されたプラズマ処理装置が知られている(例えば、特許文献2参照。)。
特開2003−68710号公報 特開2006−352040号公報
上記した空冷、又は温度調節媒体を循環させることによりシャワーヘッドを冷却するプラズマ処理装置は、処理チャンバーの下部から処理チャンバー内の排気を行うよう構成されたものである。一方、本発明者は、従来からシャワーヘッドからガスを供給するとともに、このシャワーヘッドに設けた複数の排気孔から排気し、ガス流の均一化を図ることのできるシャワーヘッドを開発している。このようなシャワーヘッドでは、冷媒を循環させて冷却するための機構等を設けると、排気孔の経路が長くなり、排気性能が悪くなるという問題がある。また、シャワーヘッドの上方に排気経路を構成する筒状体(排気管)を設ける必要があるため、直接シャワーヘッドを冷却するための空冷機構等を設けることが難しいという問題がある。このため、シャワーヘッドの温度が上昇するとともに、シャワーヘッドの温度分布が不均一になり、処理の均一性が悪化するという問題がある。
本発明は、上記従来の事情に対処してなされたもので、シャワーヘッドの温度の上昇を抑制することができるとともに、シャワーヘッドの温度分布を均一にすることができ、処理の均一性の向上を図ることのできるシャワーヘッド及びプラズマ処理装置を提供しようとするものである。
請求項1のシャワーヘッドは、内部で基板を処理する処理チャンバーに、前記基板を載置するための載置台と対向するように設けられ、前記載置台と対向する対向面に複数設けられたガス吐出孔から前記基板に向けてガスをシャワー状に供給するためのシャワーヘッドであって、前記対向面とは反対側の面に、アルミニウム、ステンレス鋼、銅のいずれかから棒状に構成された複数の熱伝導柱が立設されていると共に、前記対向面と前記反対側の面との間を貫通して設けられ、前記対向面から前記反対側の面に向けて排気を行うための排気孔を複数有し、前記熱伝導柱が排気経路内に設けられており、かつ、前記処理チャンバーに周縁部において固定され、中央部に配設された前記熱伝導柱よりも外周部に配設された前記熱伝導柱の熱容量が小さくなるよう前記熱伝導柱の長さ及び/又は太さが設定されていることを特徴とする。
請求項のシャワーヘッドは、請求項記載のシャワーヘッドであって、前記熱伝導柱が、熱伝達部材を介して、前記排気経路を構成する筒状体の側壁部と接続されていることを特徴とする。
請求項のシャワーヘッドは、請求項記載のシャワーヘッドであって、前記熱伝導柱が、常温では前記熱伝達部材と非接触状態で、熱膨張時に前記熱伝達部材と接触するよう構成されていることを特徴とする。
請求項のシャワーヘッドは、請求項2又は3記載のシャワーヘッドであって、前記筒状体の側壁部に、温度調節手段が設けられていることを特徴とする。
請求項のプラズマ処理装置は、内部で基板を処理する処理チャンバーに、前記基板を載置するための載置台と対向するように設けられ、前記載置台と対向する対向面に複数設けられたガス吐出孔から前記基板に向けてガスをシャワー状に供給するためのシャワーヘッドを備えたプラズマ処理装置であって、前記シャワーヘッドは、前記対向面とは反対側の面に、アルミニウム、ステンレス鋼、銅のいずれかから棒状に構成された複数の熱伝導柱が立設されていると共に、前記対向面と前記反対側の面との間を貫通して設けられ、前記対向面から前記反対側の面に向けて排気を行うための排気孔を複数有し、前記熱伝導柱が排気経路内に設けられており、かつ、前記処理チャンバーに周縁部において固定され、中央部に配設された前記熱伝導柱よりも外周部に配設された前記熱伝導柱の熱容量が小さくなるよう前記熱伝導柱の長さ及び/又は太さが設定されていることを特徴とする。
請求項のプラズマ処理装置は、請求項記載のプラズマ処理装置であって、前記シャワーヘッドが前記載置台と対向する対向電極を形成することを特徴とする。
本発明によれば、シャワーヘッドの温度の上昇を抑制することができるとともに、シャワーヘッドの温度分布を均一にすることができ、処理の均一性の向上を図ることのできるシャワーヘッド及びプラズマ処理装置を提供することができる。
本発明の一実施形態に係るプラズマ処理装置の構成を示す縦断面図。 本発明の一実施形態に係るシャワーヘッドの構成を示す縦断面図。 本発明の一実施形態に係るシャワーヘッドの斜視図。 本発明の一実施形態に係るシャワーヘッドの上面図。 本発明の一実施形態に係るシャワーヘッドの底面図。 本発明の他の実施形態に係るプラズマ処理装置の構成を示す縦断面図。 図6のプラズマ処理装置の要部構成を拡大して示す図。
以下、本発明の詳細を、図面を参照して実施形態について説明する。
図1は、本発明のプラズマ処理装置の一実施形態に係るプラズマエッチング装置200の要部断面構成を示すものであり、図2は、図1のプラズマエッチング装置200に設けられたシャワーヘッド100の構成を示す断面図、図3はシャワーヘッド100の構成を示す斜視図、図4はシャワーヘッド100の上面図、図5はシャワーヘッド100の底面図である。
図2に示すように、シャワーヘッド100は、下側部材1と、この下側部材の上側に配置された上側部材2の2枚の板状部材を積層させた積層体10から構成されている。この板状部材は、例えば、表面に陽極酸化処理を施したアルミニウム等から構成されている。このシャワーヘッド100は、図1に示すように、プラズマエッチング装置200の処理チャンバー201に、半導体ウエハ(基板)が載置される載置台202と対向するように配設される。すなわち、図2に示す下側部材1側が図1に示す載置台202と対向する対向面14を形成するように配設される。
上記積層体10のうち、載置台202と対向する対向面14を形成する下側部材1には、ガス吐出孔11が多数形成されており、下側部材1と上側部材2との間には、これらのガス吐出孔11に連通するガス流路12が形成されている。これらのガス吐出孔11は、図2中に矢印で示すように、基板(図2中下側)に向けてガスをシャワー状に供給するためのものである。なお、積層体10の周縁部には、ガス流路12内にガスを導入するためのガス導入部12aが設けられている。
また、上記積層体10には、この積層体10、すなわち、下側部材1と上側部材2とを貫通して、多数の排気孔13が形成されている。これらの排気孔13は、図2中に点線の矢印で示すように、基板側(図中下側)から基板と反対側(図中上側)に向けてガスの流れが形成されるように排気を行う排気機構を構成している。これらの排気孔13は、直径が例えば3mm程度とされており、図3に示すように、シャワーヘッド100の周縁部(処理チャンバー201に固定するための固定部となる)を除き、その全領域に亘って略均等に設けられている。排気孔13の数は、例えば10インチ径の半導体ウエハを処理するためのシャワーヘッド100の場合、700〜1000個程度である。なお、図3に示すように、本実施形態では、シャワーヘッド100の外形は、被処理基板である半導体ウエハの外形に合わせて円板状に構成されている。
さらに、上記積層体10の上側部材2側、すなわち、載置台202と対向する対向面14とは反対側の面15には、棒状に構成された複数の熱伝導柱16が立設されている。これらの熱伝導柱16は、図3に示すように、シャワーヘッド100の周縁部(処理チャンバー201に固定するための固定部となる)を除き、その全領域に亘って略均等に設けられている。また、これらの熱伝導柱16は、熱伝導の良好な材料、例えば、銅、ステンレス鋼、アルミニウム等から構成されている。このアルミニウム、銅には、他の元素を少量添加して機械的特性や熱伝導性を改善したこれらの金属の合金を包含する。なお、熱伝導柱16の材料として銅を使用する場合は、表面から銅が飛散して半導体ウエハWに悪影響を与えることを防止するため、表面処理を施すことが好ましい。この熱伝導柱16は、10インチ径の半導体ウエハを処理するためのシャワーヘッド100の場合、例えば数十本から百数十本程度設けることが好ましい。
上記熱伝導柱16は、シャワーヘッド100の対向面14側がプラズマに晒されてプラズマから熱を受けた際に、熱伝導柱16に熱を逃がして過度にシャワーヘッド100の温度が上昇することを防止するとともに、シャワーヘッド100の中央部と周縁部とで温度分布にばらつきが生じることを防止するためのものである。
すなわち、シャワーヘッド100は、図1に示すように、その周縁部がプラズマエッチング装置200の処理チャンバー201に支持固定される構造となっているため、シャワーヘッド100の周縁部では、熱が処理チャンバー201に逃げやすくなっている一方、中央部では熱の逃げ場がない構造となっている。このため、シャワーヘッド100の中央部では温度が高く、周縁部では温度が低くなるよう温度分布にばらつきが生じる傾向がある。
そして、上記のようにシャワーヘッド100の温度分布にばらつきが発生すると、図1に示すプラズマPの状態も不均一になり、プラズマエッチングの状態も不均一になってしまう。熱伝導柱16は、このようなシャワーヘッド100の温度分布を均一化して、プラズマエッチングの状態を均一化するためのものである。このため、熱伝導柱16は、シャワーヘッド100の中央部に配置されるものに比べて周縁部近傍に配置されるものの高さが低く(熱容量が小さく)なるように設定されている。なお、熱伝導柱16の高さの他、その太さ或いは高さと太さの双方を変えて、熱容量を調整するようにしてもよい。
次に、図1を参照して上記構成のシャワーヘッド100を具備した基板処理装置としてのプラズマエッチング装置200の構成を説明する。このプラズマエッチング装置200は、電極板が上下平行に対向し、プラズマ形成用電源が接続された容量結合型平行平板プラズマエッチング装置として構成されている。
プラズマエッチング装置200は、例えば表面が陽極酸化処理されたアルミニウム等からなり円筒形状に形成された処理チャンバー(処理容器)201を有しており、この処理チャンバー201は接地されている。処理チャンバー201内には、被処理基板としての半導体ウエハを載置し、かつ、下部電極を構成する載置台202が設けられている。この載置台202には、図示しない高周波電源等の高周波電力印加装置が接続されている。
載置台202の上側には、その上に半導体ウエハを静電吸着するための静電チャック203が設けられている。静電チャック203は、絶縁材の間に電極を配置して構成されており、この電極に直流電圧を印加することにより、クーロン力によって半導体ウエハを静電吸着する。また、載置台202には、温度調節媒体を循環させるための流路204が形成されており、静電チャック203上に吸着された半導体ウエハを所定の温度に温度調整できるようになっている。また、処理チャンバー201の側壁部には、半導体ウエハを処理チャンバー201内に搬入、搬出するための開口205が形成されており、ここには、開口205を気密に閉塞するための開閉機構206が設けられている。
載置台202の上方に、載置台202と所定間隔を隔てて対向するように、図2に示したシャワーヘッド100が配置されている。そして、シャワーヘッド100が上部電極となり、載置台202が下部電極となる一対の対向電極が形成されている。
シャワーヘッド100のガス導入部12aは、処理チャンバー201に設けられたガス供給部207に接続されている。ガス供給部207には、図示しないガス供給機構から所定の処理ガス(エッチングガス)が供給される。
また、シャワーヘッド100の上部には、上方に向けて径小となる筒状(ラッパ状)の筒状体210が設けられており、この筒状体210には、開閉制御弁及び開閉機構等を介してターボ分子ポンプ等の真空ポンプ(図示せず。)が接続されている。このように、筒状体210の内側が排気路となっており、熱伝導柱16は、筒状体210の内側の排気路内に配設されている。また、筒状体210の外側には、温度調節媒体を循環させて温度調節を行うための温度調節機構211が設けられている。
上記構成のプラズマエッチング装置200によって、半導体ウエハのプラズマエッチングを行う場合、まず、半導体ウエハは、開口205から処理チャンバー201内へと搬入され、静電チャック203上に載置される。そして、半導体ウエハが静電チャック203上に静電吸着される。次いで、開口205が閉じられ、真空ポンプ等によって、処理チャンバー201内が所定の真空度まで真空引きされる。
その後、所定流量の所定の処理ガス(エッチングガス)が、ガス供給部207からシャワーヘッド100のガス導入部12aに供給され、この処理ガスは、シャワーヘッド100のガス流路12を経てガス吐出孔11からシャワー状に載置台202上の半導体ウエハに供給される。
そして、処理チャンバー201内の圧力が、所定の圧力に維持された後、載置台202に所定の周波数,例えば13.56MHzの高周波電力が印加される。これにより、上部電極としてのシャワーヘッド100と下部電極としての載置台202との間に高周波電界が生じ、エッチングガスが解離してプラズマ化する。このプラズマによって、半導体ウエハに所定のエッチング処理が行われる。
上記エッチング処理において、シャワーヘッド100のガス吐出孔11からシャワー状に供給された処理ガスは、シャワーヘッド100に分散して多数形成された排気孔13から排気されるので、処理チャンバー201の下部から排気を行う場合のように、半導体ウエハの中央部から周辺部に向かうようなガスの流れが形成されることがない。このため、半導体ウエハに供給される処理ガスをより均一化することができる。また、シャワーヘッド100は、プラズマPから熱を受けてその温度が上昇するが、熱伝導柱16の作用によってシャワーヘッド100の中央部と周縁部とで温度分布にばらつきが生じることを抑制することができる。これによって、プラズマPの状態を均一化することができ、半導体ウエハの各部に均一なエッチング処理を施すことができる。すなわち、処理の面内均一性を向上させることができる。
そして、所定のプラズマエッチング処理が終了すると、高周波電力の印加及び処理ガスの供給が停止され、上記した手順とは逆の手順で、半導体ウエハが処理チャンバー201内から搬出される。
上記したとおり、本実施形態のプラズマエッチング装置200によれば、シャワーヘッド100から上部に排気する構成となっているので、半導体ウエハに供給される処理ガスをより均一化することができる。また、シャワーヘッド100の中央部と周縁部とで温度分布にばらつきが生じることを抑制することができ、プラズマPの状態を均一化することができる。これによって、半導体ウエハの各部に均一なエッチング処理を施すことができる。さらに、シャワーヘッド100に、温調媒体を循環させるための機構等を積層して設ける構成としていないので、排気孔13の経路が長くなり、排気性能が悪化することもない。
また、上記のプラズマエッチング装置200では、シャワーヘッド100に設けた排気孔13から排気を行うので、従来の装置のように、載置台202の周囲又はシャワーヘッド100の周囲に排気経路を設ける必要がない。このため、処理チャンバー201の径をより被処理基板である半導体ウエハの外径に近づけることが可能となり、装置の小型化を図ることができる。また、真空ポンプを、処理チャンバー201の上方に設けており、処理チャンバー201の処理空間により近い部分から排気するので、効率良く排気することができ、真空ポンプの容量を少なくしてさらに小型化を図ることができる。
ところで、上記した実施形態では、熱伝導柱16の熱容量を越えてプラズマPからシャワーヘッド100への入熱が生じると、シャワーヘッド100に温度分布のばらつきが生じるおそれがある。このような場合、図6に示すように、筒状体210と熱伝導柱16とを接続する熱伝達部材212を設け、熱伝導柱16から伝達部材212を介して、温度調節機構211によって温度調節されている筒状体210に熱を逃がす構成とすることもできる。
また、このような構成とする場合、図7に示すように、熱伝達部材212に、常温では熱伝導柱16より僅かに径の大きな透孔213を設け、常温では熱伝達部材212と熱伝導柱16が非接触な状態となるよう構成し、熱伝導柱16の温度が上昇して熱膨張が生じた場合にのみ熱伝導柱16と熱伝達部材212とが接触し、熱伝達部材212を介した放熱が行われるようにしてもよい。この場合、熱伝導柱16と熱伝達部材212とが接触状態となり、一旦放熱が行われるようになった後、熱伝導柱16の温度が低下すれば再度熱伝導柱16と熱伝達部材212とが非接触状態となり、熱伝導柱16の温度が上昇した時のみに自動的に熱伝達部材212を介した放熱が行われるようにすることができる。このような構成とする場合、例えば、熱伝導柱16として熱伝達部材212より線膨張係数の大きい金属材料を用いることが好ましい。
11……ガス吐出孔、13……排気孔、16……熱伝導柱、100……シャワーヘッド、200……プラズマエッチング装置、201……処理チャンバー、202……載置台。

Claims (6)

  1. 内部で基板を処理する処理チャンバーに、前記基板を載置するための載置台と対向するように設けられ、前記載置台と対向する対向面に複数設けられたガス吐出孔から前記基板に向けてガスをシャワー状に供給するためのシャワーヘッドであって、
    前記対向面とは反対側の面に、アルミニウム、ステンレス鋼、銅のいずれかから棒状に構成された複数の熱伝導柱が立設されていると共に、
    前記対向面と前記反対側の面との間を貫通して設けられ、前記対向面から前記反対側の面に向けて排気を行うための排気孔を複数有し、前記熱伝導柱が排気経路内に設けられており、
    かつ、前記処理チャンバーに周縁部において固定され、中央部に配設された前記熱伝導柱よりも外周部に配設された前記熱伝導柱の熱容量が小さくなるよう前記熱伝導柱の長さ及び/又は太さが設定されている
    ことを特徴とするシャワーヘッド。
  2. 請求項記載のシャワーヘッドであって、
    前記熱伝導柱が、熱伝達部材を介して、前記排気経路を構成する筒状体の側壁部と接続されていることを特徴とするシャワーヘッド。
  3. 請求項記載のシャワーヘッドであって、
    前記熱伝導柱が、常温では前記熱伝達部材と非接触状態で、熱膨張時に前記熱伝達部材と接触するよう構成されている
    ことを特徴とするシャワーヘッド。
  4. 請求項2又は3記載のシャワーヘッドであって、
    前記筒状体の側壁部に、温度調節手段が設けられている
    ことを特徴とするシャワーヘッド。
  5. 内部で基板を処理する処理チャンバーに、前記基板を載置するための載置台と対向するように設けられ、前記載置台と対向する対向面に複数設けられたガス吐出孔から前記基板に向けてガスをシャワー状に供給するためのシャワーヘッドを備えたプラズマ処理装置であって、
    前記シャワーヘッドは、
    前記対向面とは反対側の面に、アルミニウム、ステンレス鋼、銅のいずれかから棒状に構成された複数の熱伝導柱が立設されていると共に、
    前記対向面と前記反対側の面との間を貫通して設けられ、前記対向面から前記反対側の面に向けて排気を行うための排気孔を複数有し、前記熱伝導柱が排気経路内に設けられており、
    かつ、前記処理チャンバーに周縁部において固定され、中央部に配設された前記熱伝導柱よりも外周部に配設された前記熱伝導柱の熱容量が小さくなるよう前記熱伝導柱の長さ及び/又は太さが設定されている
    ことを特徴とするプラズマ処理装置。
  6. 請求項記載のプラズマ処理装置であって、
    前記シャワーヘッドが前記載置台と対向する対向電極を形成することを特徴とするプラズマ処理装置。
JP2009056523A 2009-03-10 2009-03-10 シャワーヘッド及びプラズマ処理装置 Expired - Fee Related JP5221421B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009056523A JP5221421B2 (ja) 2009-03-10 2009-03-10 シャワーヘッド及びプラズマ処理装置
US12/719,175 US8282769B2 (en) 2009-03-10 2010-03-08 Shower head and plasma processing apparatus having same
TW099106764A TWI514932B (zh) 2009-03-10 2010-03-09 Shower head and plasma processing device
KR20100021039A KR101493110B1 (ko) 2009-03-10 2010-03-09 샤워헤드 및 플라즈마 처리장치
CN2010101323967A CN101834120B (zh) 2009-03-10 2010-03-10 喷淋头和等离子体处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009056523A JP5221421B2 (ja) 2009-03-10 2009-03-10 シャワーヘッド及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2010212425A JP2010212425A (ja) 2010-09-24
JP5221421B2 true JP5221421B2 (ja) 2013-06-26

Family

ID=42718150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009056523A Expired - Fee Related JP5221421B2 (ja) 2009-03-10 2009-03-10 シャワーヘッド及びプラズマ処理装置

Country Status (5)

Country Link
US (1) US8282769B2 (ja)
JP (1) JP5221421B2 (ja)
KR (1) KR101493110B1 (ja)
CN (1) CN101834120B (ja)
TW (1) TWI514932B (ja)

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811296B (zh) * 2012-11-14 2018-01-09 中微半导体设备(上海)有限公司 关键尺寸控制系统
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105437083B (zh) * 2014-09-29 2018-11-06 盛美半导体设备(上海)有限公司 喷头装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105470312A (zh) 2016-02-19 2016-04-06 深圳市华星光电技术有限公司 低温多晶硅薄膜晶体管及其制造方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102577264B1 (ko) 2018-04-20 2023-09-11 삼성전자주식회사 샤워헤드 및 기판 처리 장치
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
JP7434973B2 (ja) * 2020-02-07 2024-02-21 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JP2840026B2 (ja) * 1994-05-02 1998-12-24 日本エー・エス・エム株式会社 空冷式の処理装置および該装置を利用して連続して被処理体を処理する方法
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
JP2003068710A (ja) 2001-08-22 2003-03-07 Tokyo Electron Ltd 部材冷却システムおよびプラズマ処理装置
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
CN100495655C (zh) 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4463583B2 (ja) * 2004-02-13 2010-05-19 東京エレクトロン株式会社 成膜方法および成膜装置
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
JP4593381B2 (ja) 2005-06-20 2010-12-08 東京エレクトロン株式会社 上部電極、プラズマ処理装置およびプラズマ処理方法
KR100725613B1 (ko) * 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof

Also Published As

Publication number Publication date
TWI514932B (zh) 2015-12-21
KR101493110B1 (ko) 2015-02-12
US20100230051A1 (en) 2010-09-16
US8282769B2 (en) 2012-10-09
TW201127216A (en) 2011-08-01
CN101834120B (zh) 2012-05-02
KR20100102068A (ko) 2010-09-20
CN101834120A (zh) 2010-09-15
JP2010212425A (ja) 2010-09-24

Similar Documents

Publication Publication Date Title
JP5221421B2 (ja) シャワーヘッド及びプラズマ処理装置
JP5248370B2 (ja) シャワーヘッド及びプラズマ処理装置
JP5198226B2 (ja) 基板載置台および基板処理装置
JP5323628B2 (ja) プラズマ処理装置
JP5224855B2 (ja) 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP5993568B2 (ja) 基板載置システム、基板処理装置、静電チャック及び基板冷却方法
JP5367522B2 (ja) プラズマ処理装置及びシャワーヘッド
JP5320171B2 (ja) 基板処理装置
JP2011119461A (ja) プラズマ処理装置
JP2009260258A (ja) シャワーヘッド及び基板処理装置
JP2003309168A (ja) 静電吸着ホルダー及び基板処理装置
JP4642550B2 (ja) 基板載置台、基板処理装置、および基板の温度制御方法
KR100861261B1 (ko) 전열 구조체 및 기판 처리 장치
KR101898079B1 (ko) 플라즈마 처리 장치
KR102508315B1 (ko) 급전 부재 및 기판 처리 장치
JP2007250860A (ja) プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
JPH0927398A (ja) プラズマ処理装置
JP4355159B2 (ja) 静電吸着ホルダー及び基板処理装置
JPH08167595A (ja) プラズマ処理装置
JP2012186223A (ja) プラズマ処理装置
JP7413088B2 (ja) 保持装置および半導体製造装置
US20220238314A1 (en) Mounting table structure, substrate processing apparatus, and method of controlling substrate processing apparatus
JP2013110440A (ja) 電極ユニット及び基板処理装置
JP2004200460A (ja) 真空処理装置及び排気リング
JP2023039202A (ja) 基板支持体アセンブリ及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130307

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160315

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5221421

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees