CN103403852A - 双负载闸配置的消除及剥离处理腔室 - Google Patents

双负载闸配置的消除及剥离处理腔室 Download PDF

Info

Publication number
CN103403852A
CN103403852A CN2012800105286A CN201280010528A CN103403852A CN 103403852 A CN103403852 A CN 103403852A CN 2012800105286 A CN2012800105286 A CN 2012800105286A CN 201280010528 A CN201280010528 A CN 201280010528A CN 103403852 A CN103403852 A CN 103403852A
Authority
CN
China
Prior art keywords
load lock
cavity volume
chamber
substrate
chamber body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012800105286A
Other languages
English (en)
Other versions
CN103403852B (zh
Inventor
马丁·杰夫·萨里纳斯
P·B·路透
阿尼鲁达·帕尔
杰瑞德·阿哈默德·里
I·优素福
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103403852A publication Critical patent/CN103403852A/zh
Application granted granted Critical
Publication of CN103403852B publication Critical patent/CN103403852B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明的实施例提供能处理基板的双负载闸室。在一实施例中,双负载闸室包括腔室主体,腔室主体界定互相隔离的第一腔室容积和第二腔室容积。下腔室容积和第二腔室容积中的每一个,经由配置以传送基板的两个开口,能选择性连接至两个处理环境。双负载闸室还包括设在第二腔室容积内的加热基板支撑组件。加热基板支撑组件经配置以支撑及加热放置于上的基板。双负载闸室还包括连接至第二腔室容积的远端等离子体源,用以供应等离子体给第二腔室容积。

Description

双负载闸配置的消除及剥离处理腔室
发明背景
领域
本发明的实施例大体关于制造装置于半导体基板上的方法和设备。更具体而言,本发明的实施例关于包括两个腔室容积的负载闸室,且至少一腔室容积经配置以处理基板。
相关技术的描述
本发明的实施例大体关于制造装置于半导体基板上的方法和设备。更具体而言,本发明的实施例关于负载闸室,负载闸室包括两个负载闸并能处理基板。
超大型集成(ULSI)电路包括超过一百万个电子装置(例如晶体管),电子装置形成在半导体基板上,例如硅(Si)基板,且协同执行各种装置功能。通常,用于ULSI电路的晶体管为互补式金属氧化物半导体(CMOS)场效应管。CMOS晶体管具有包含多晶硅栅极电极和栅极介电层的栅极结构,栅极结构置于形成于基板的源极区与漏极区之间。
等离子体蚀刻常用于制造晶体管和其他电子装置。在用于形成晶体管结构的等离子体蚀刻工艺期间,一或更多层膜堆迭(例如硅、多晶硅、二氧化铪(HfO2)、二氧化硅(SiO2)、金属材料等层)通常接触包含至少一含卤素气体(例如溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)等)的蚀刻剂。此类工艺导致含卤素残留物聚积在已蚀刻特征结构的表面、蚀刻掩模和基板别处上。
当暴露于非真空环境(例如工厂界面或基板储放匣内)及/或在连续处理期间,从蚀刻时沉积的含卤素残留物中会释出气态卤素和卤素基反应物,例如溴(Br2)、氯气(Cl2)、氯化氢(HCl)等。所释出的卤素和卤素基反应物将引起微粒污染,并造成处理系统与工厂界面的内部空间腐蚀及导致基板上的金属层露出部分腐蚀。清洁处理系统与工厂界面及更换遭腐蚀零件是耗时又昂贵的程序。
已开发数种工艺来移除已蚀刻基板上的含卤素残留物。例如,可把已蚀刻基板传送到远端等离子体反应器中,使已蚀刻基板接触气体混合物而将含卤素残留物转化成非腐蚀性挥发化合物,该挥发化合物可经除气并被抽空出反应器。然而,此类工艺需专用的处理腔室和附加步骤,以致增加工具费用、降低制造生产力和产量,因而提高制造成本。
因此,需要改善的方法和设备来从基板移除含卤素残留物。
发明内容
本发明的实施例大体上提供处理基板的设备和方法。具体而言,本发明的实施例提供双负载闸室,该双负载闸室能例如藉由使放在里面的基板接触活性反应组分而处理基板。
本发明的一实施例提供负载闸室。负载闸室包括腔室主体,腔室主体界定互相隔离的第一腔室容积和第二腔室容积。第一腔室容积,经由配置以传送基板的两个开口,能选择性连接至两个处理环境。第二腔室容积选择性连接至两个处理环境的至少一者。负载闸室进一步包括设在第二腔室容积内的加热基板支撑组件,和连接至第二腔室容积来供应等离子体给第二腔室容积的远端等离子体源。加热基板支撑组件经配置以支撑及加热放置于上的基板。
本发明的一实施例提供双负载闸室。双负载闸室包括腔室主体,腔室主体界定互相隔离的第一腔室容积和第二腔室容积。下腔室容积和第二腔室容积中的每一个,经由配置以传送基板的两个开口,能选择性连接至两个分开的相邻环境。双负载闸室还包括设在第二腔室容积内的加热基板支撑组件。加热基板支撑组件经配置以支撑及加热放置于上的基板。双负载闸室还包括连接至第二腔室容积的远端等离子体源,用以供应活性反应组分给第二腔室容积。
本发明的另一实施例提供双负载闸室。双负载闸室包括:腔室主体,腔室主体界定互相隔离的第二腔室容积和下负载闸容积;基板支撑组件,经配置以支撑放在第一腔室容积内的基板;及加热基板支撑组件,经配置以支撑及加热放在第二腔室容积内的基板。下腔室容积和第二腔室容积中的每一个,经由配置以传送基板的两个开口,能选择性连接至两个分开的相邻环境。双负载闸室还包括设在加热基板支撑组件上方的喷洒头组件,其中喷洒头组件经配置以分配一或更多处理气体到第二腔室容积。
本发明的又一实施例提供从基板移除含卤素残留物的方法。方法包括将基板经由双负载闸室的送进负载闸传送到基板处理系统,其中双负载闸室耦接至基板处理系统,以及在基板处理腔室中,以包含卤素的化学剂蚀刻基板。方法还包括在双负载闸室的送出负载闸中,从已蚀刻基板移除含卤素残留物,其中在单一腔室主体中,送出负载闸与送进负载闸互相隔离。移除含卤素残留物包括加热送出负载闸的加热基板支撑组件上的已蚀刻基板,以及使处理气体流入送出负载闸。
上述方法中,其中移除含卤素残留物包括:利用围绕加热基板支撑组件的箍衬套,建立对称处理环境。
上述方法中,其中流入处理气体包括:在远端等离子体源中产生处理气体的等离子体。
附图简要描述
可藉由参考实施例(其中一些实施例在附图中示出)来获得在上文中简短概述过的本发明的更为具体的说明,从而可详细了解本发明的上述特征。然而,应注意附图仅说明本发明典型实施例,故不宜视为限定本发明范围,因为本发明可接纳其他等效实施例。
图1为根据本发明一实施例,的双负载闸室的截面图。
图2为图1的双负载闸室的截面图,其中升降箍处于装载/卸载位置。
图3为根据本发明一实施例,双负载闸室的第二腔室容积的俯视图。
图4为根据本发明一实施例,双负载闸室的第一腔室容积的俯视图。
图5为根据本发明一实施例,双负载闸室的第一腔室主体的透视图。
图6为第一腔室主体与第二腔室主体组装在一起的透视截面图。
图7为形成于第二腔室主体与第一腔室主体的泵抽通道的透视截面图,其中移除了加热基板支撑组件。
图8为根据本发明另一实施例,双负载闸室的截面图。
图9为根据本发明实施例,包括双负载闸室的基板处理系统的平面图。
图10为根据本发明一实施例,处理基板的方法流程图。
图11为根据本发明另一实施例,处理基板的方法流程图。
为助于了解,尽可能以相同的元件符号代表各图中共同的相似元件。应理解某一实施例所述的元件当可有益地并入其他实施例,在此不另外详述。
详细描述
本发明的实施例提供制造装置于半导体基板上的设备和方法。更具体而言,本发明的实施例关于包括两个隔离腔室容积的双负载闸室,其中至少一腔室容积经配置以处理基板,例如使基板接触活性反应组分。
本发明的一实施例提供负载闸室,负载闸室具有至少两个隔离腔室容积形成于主体组件内。这两个隔离腔室容积可垂直堆迭或并排设置。两个腔室容积可独立操作以提高产量。在一实施例中,第一腔室容积经配置以使放在里面的基板接触活性反应组分,以例如从基板移除卤素残留物或光刻胶。第二腔室容积仅用于在毗连环境间交换,例如工厂界面与移送室的环境。本发明的一实施例提供负载闸室,负载闸室包括用以加热内部基板的薄加热基板支撑件和设在薄加热基板支撑件上方的喷洒头,用以均匀供应一或更多处理气体给负载闸室。在一实施例中,喷洒头连接至远端等离子体源,以供应活性反应组分给负载闸室。本发明的负载闸室还可包括箍衬套,以在用于处理基板的腔室容积内建立对称处理环境。在本发明一实施例中,箍衬套可耦接至一或更多升降指状件,该升降指状件经配置以利用设在负载闸室外的基板传送机器人来交换基板。
图1为根据本发明一实施例,双负载闸室100的截面图。双负载闸室100包括用于传送基板104的第一腔室容积110和用于传送及处理基板104的第二腔室容积120。第二腔室容积120和第一腔室容积110垂直堆迭在一起且互相隔离。
双负载闸室100包括腔室主体组件103。在一实施例中,腔室主体组件103包括第一腔室主体111和第二腔室主体121,腔室主体111、121耦接在一起而界定一元结构容纳第一与第二腔室容积120、110。在一实施例中,第一腔室主体111和第二腔室主体121垂直堆迭在一起。虽然第一腔室主体111被绘示为堆迭在第二腔室主体121下方,但当理解第一腔室主体111也可堆迭在第二腔室主体121上方或水平并排设置。
双负载闸室100的第二腔室容积120具有喷洒头129、加热基板支撑组件132和升降箍组件144。喷洒头129设在加热基板支撑组件132上方。升降箍组件144经配置以限制第二腔室容积120内的处理环境,升降箍组件144还能够操作用于装载及卸载来自加热基板支撑组件132和基板传送机器人(未图示)的基板。
第二腔室容积120由第二腔室主体121的侧壁122、置于侧壁122上方的盖衬套127、第二腔室主体121的底壁123和第一腔室主体111的顶壁118界定。盖衬套127具有形成中央开口127c的内唇127a。内唇127a支承喷洒头129和源接装板128。在一实施例中,盖衬套127可拆式设在第二腔室主体121上方,以容许接近腔室部件。
喷洒头129包括具多个穿孔129a面板129d(该多个穿孔129a被形成为贯穿该面板129d)和具中央开口129e的背板129c。面板129d和背板129c围住内部容积129b。内部容积129b当作气室来加强经由贯穿面板129d所形成的穿孔129a提供至第二腔室容积120的气体的径向均匀度。
源接装板128设在喷洒头129的背板129c上方。源接装板128具有中央开口128a,中央开口128a匹配喷洒头129的中央开口129e。远端等离子体源130经由设于开口129e、128a中的石英嵌入件131流体连通于喷洒头129的内部容积129b。出自远端等离子体源130的解离活性反应组分经由石英嵌入件131进入第二腔室容积120而至喷洒头129的内部容积129b,接着经由喷洒头129的穿孔129a而至第二腔室容积120。
在一实施例中,喷洒头129由石英制成,从而内部容积129b接触气室内活性反应组分的表面以石英为衬里。石英嵌入件131和喷洒头129保护金属腔室部件免于接触远端等离子体源130提供的活性反应组分,从而实质减少物种复合、侵害金属腔室部件及产生微粒。
远端等离子体源130通常连接至一或更多气体分配盘,气体分配盘经由远端等离子体源130供应一或更多处理气体给上腔室容积110。在一实施例中,远端等离子体源130连接至第一气体分配盘101和第二气体分配盘102,第一气体分配盘101经配置以提供用于消除工艺的处理气体来移除蚀刻后残留的材料,第二气体分配盘102经配置以提供用于灰化工艺的处理气体来移除光刻胶。
加热基板支撑组件132经配置以适配在双负载闸室100的第二腔室容积120中。加热基板支撑组件132安装成与腔室主体组件103实质隔热。在一实施例中,加热基板支撑组件132经配置以加热基板104达300℃,同时腔室主体组件103则保持冷却。
在一实施例中,加热基板支撑组件132包括上加热板133、附接上加热板133的下加热板134和设在上加热板133与下加热板134间的加热器135。在一实施例中,加热器135可设在形成于下加热板134的上表面的通道中。加热器135可为电阻式加热器或配置为供热传流体流动的导管。上加热板133和下加热板134可藉由螺栓、焊接或铜焊而接合在一起。在一实施例中,上加热板133和下加热板134可由金属组成,例如铝。
上加热板133经配置以支撑基板104的背侧104b。在一实施例中,下加热板134的外径大于上加热板133的外径。聚焦环151可设在下加热板134径向露出上加热板133外面的外缘134a上。聚焦环151围绕上加热板133和放在加热板133上的基板104。聚焦环151用来保留基板104及于处理时修改基板104的边缘区域周围的处理速率。在一实施例中,聚焦环151、上和下加热板133、134可具有匹配切口155,切口155经配置以提供升降指状件147通行。
加热基板支撑组件132经由第二腔室主体121的底壁123中的中央开口123a装设在绝热器143上,绝热器143设在第一腔室主体111的顶壁118。在一实施例中,凹部118a可形成在第一腔室主体111的顶壁118。凹部118a可容许形成于第一腔室主体111中的真空进出口连接第二腔室容积120。加热基板支撑组件132不直接接触腔室主体组件103。绝热器143可由绝热材料组成,例如陶瓷,以防加热基板支撑组件132与包括第二腔室主体121和第一腔室主体111的腔室主体组件103间发生热交换。
绝热器143经设置以相对第二腔室容积120中的其他部件(例如喷洒头129和升降箍组件144)置中加热基板支撑组件132。在一实施例中,绝热器143对准加热基板支撑组件132的中心轴132a,以确保加热基板支撑组件132于热膨胀时维持置中。
悬臂管136从靠近下加热板134中心的背侧134b延伸。悬臂管136放射状向外延伸而连接垂直管137,垂直管137设置为穿过第二腔室主体121的开口153和第一腔室主体111的开口152。管136、137不接触第二腔室主体121或第一腔室主体111,以进一步避免加热基板支撑组件132与腔室主体111、121间发生热交换。悬臂管136和垂直管137提供通道给电源、感测器和加热基板支撑组件132所用其他配线。在一实施例中,加热器功率源138、感测信号接收器139和夹持控制单元140经由悬臂管136和垂直管137的通道而接线至加热基板支撑组件132。在一实施例中,夹持控制单元140经配置以提供真空夹持机制。
冷却配接器141从第一腔室主体111外侧耦接至垂直管137和第一腔室主体111。冷却配接器141具有冷却通道141a形成于内。冷却流体142的来源连接至冷却通道141a,用以冷却冷却配接器141与垂直管137、悬臂管136和加热基板支撑组件132的其他部件。处理期间,冷却配接器141通常保持冷却,故可做为加热基板支撑组件133与腔室主体组件103间的绝热器。
在一实施例中,双金属连接器可用于连接加热基板支撑组件132的各种零件,以提供均匀温度控制。
加热基板支撑组件132的详细说明可参见2011年3月1日提交、名称为“薄加热基板支撑件(Thin Heater Substrate Support)”的美国临时专利申请案第61/448,018号(文件编号15750)。
双负载闸室100还包括升降箍组件144,用以在外来机器人与加热基板支撑组件132间传送基板,及用于在第二腔室容积120中提供对称处理环境。升降箍组件144包括环状箍主体146,箍主体146设在第二腔室容积120内围绕加热基板支撑组件132。箍主体146耦接至升降机160,升降机160设在第二腔室容积120的外部区域。升降机160在第二腔室容积120内垂直移动箍主体146。在一实施例中,升降机160包括波纹管161,以进行垂直移动。升降机160可耦接至设在腔室主体组件103外侧的马达致动器169。
三个或更多升降指状件147附接至箍主体146。升降指状件147垂直向下延伸且从箍主体146放射状向内延伸。升降指状件147经配置以在加热基板支撑组件132与第二腔室容积120外侧的基板传送装置(例如机器人)间传送基板。升降指状件147的尖端147a构成基板支撑表面,尖端147a经配置以在靠近基板104边缘区域的数个点处支撑基板104。
图1图示升降箍组件144处于较高位置,以与外来基板传送装置交换基板。图2为双负载闸室100的截面图,升降箍组件144处于较低位置,以进行基板处理。
当箍主体146处于图2所示较低位置时,升降指状件147位于上加热板133的上表面133a下方。随着箍主体146上升到较高位置,升降指状件147移动而接触基板104,并将基板104从加热基板支撑组件132抬起。当箍主体146处于图1所图示较高位置时,外部基板传送装置(未图示)可经由进出口之一进入第二腔室容积120,以自升降指状件147移开基板104,然后把新基板104放到升降指状件147上。当箍主体146再次下降到较低位置时,位于升降指状件147上的新基板104将被放到加热基板支撑组件132上进行处理。
箍衬套145附接至箍主体146。箍衬套145从箍主体146垂直向上延伸。在一实施例中,箍衬套145为具实质平坦的圆柱形内壁145a的环。在一实施例中,箍衬套145的内壁145a的高度145b远大于加热基板支撑组件132的厚度,内径则大于加热基板支撑组件132和喷洒头129的外径,使得箍衬套145可在加热基板支撑组件132和喷洒头129周围建立处理环境。当箍主体146处于较高位置时,如图1所图示,箍衬套145可进入形成于盖衬套127内的腔体127b。当箍主体146处于较低位置时,箍衬套145的圆柱形内壁145a在第二腔室容积120内围绕基板104和加热基板支撑组件132正上方的区域建立圆形界限壁,因而提供基板104对称处理环境。在一实施例中,箍衬套145的高度145b足够大,足以覆盖喷洒头129的面板129d与加热基板支撑组件132间的垂直空间。在一实施例中,箍衬套145由石英组成。
升降箍组件144的详细说明可参见2011年3月1日提交、名称为“基板传送及根部局限的方法和设备(Method and Apparatus for Substrate Transfer andRadical Confinement)”的美国临时专利申请案第61/448,012号(文件编号15745)。
第一腔室容积110由第一腔室主体111和附接第一腔室主体111的腔室底部112界定。第一腔室主体111具有顶壁118和侧壁119。顶壁118、侧壁119和腔室底部112围住第一腔室容积110。经配置以支撑基板104及与基板传送装置(例如基板传送机器人)交换基板的基板支撑机制可设在第一腔室容积110内。在一实施例中,基板支撑机制包括三个或更多支撑销113,用以从基板背侧104b支撑基板104。在一实施例中,支撑销113可从第一腔室主体111或腔室底部112固定延伸。支撑销113定位为与基板传送装置互动。
第二腔室容积120和第一腔室容积110耦接至真空系统150。在一实施例中,相互独立地控制第二腔室容积120和第一腔室容积110内的压力。
图3为第二腔室主体121的俯视图,其中移除了喷洒头129。第二腔室主体121包括侧壁122和底壁123。底壁123被形成为匹配第一腔室主体111的顶壁118,从而构成封闭的腔室容积供真空与公用设施通行(此将详述于后)。形成穿过侧壁122的两个开口325,以容许基板传送。流量阀门可附接各开口325外侧,藉以提供第二腔室容积120与两个处理环境间的界面。
图4为双负载闸室100的第一腔室容积110的俯视图。形成穿过第一腔室主体111的侧壁119的两个开口416,以容许在两个处理环境(例如真空移送室和大气工厂界面)间传送基板(均未图示)。流量阀门可附接各开口416外侧,以选择性将第一腔室容积110密封于两个处理环境,例如真空移送室和大气工厂界面。第一腔室主体111可具通往第一腔室容积110的下真空进出口415,用以泵抽第一腔室容积110。
在一实施例中,还形成形成穿过第一腔室主体111的上真空进出口454,用以泵抽第二腔室容积120。
图5为根据本发明一实施例,第一腔室主体111的透视图。凹部118a形成于第一腔室主体111的顶壁118。凹部118a容许加热基板支撑组件132座落在第二腔室容积120内的低处,从而缩减第二腔室容积120。中心凹口543可形成在凹部118a内,用以固定绝热器143(图示于图1)用于支撑加热基板支撑组件132。上真空进出口454形成为穿过第一腔室主体111的侧壁119,并通往形成于第一腔室主体111的顶壁118的凹部118a。故凹部118a还容许在第一腔室主体111内形成通向第二腔室容积120的泵抽通道。或者,真空进出口454可形成在凹部118a外侧,以匹配形成于第二腔室主体121的底壁123的进出口。
在一实施例中,至少一压盖511a形成于凹部118a周围。密封件可设在各压盖511a中,以于第二腔室主体121与第一腔室主体111间形成真空密封。在一实施例中,两个压盖511a可形成于第一腔室主体111的顶壁118,以提供更强的真空密封。
图6为第二腔室主体121与第一腔室主体111组装在一起的透视截面图。形成于第二腔室主体121的底壁123的中央开口123a将第二腔室容积120的内部空间和位于第一腔室主体111的顶壁118上的凹部118a相连接。因此,当第二腔室主体121附接至第一腔室主体111时,上真空进出口454可流体连通第二腔室容积120。
图7为第二腔室主体121与第一腔室主体111的透视截面图,其中移除了加热基板支撑组件132。图7中图示了下真空进出口415。第二腔室主体121和第一腔室主体111可利用各种方法接合在一起而得真空密封件。在一实施例中,第二腔室主体121用螺栓固定于第一腔室主体111。在另一实施例中,第一腔室主体111和第二腔室主体121可被铜焊在一起,以降低泄漏风险并消除容差问题。
图8为根据本发明另一实施例,双负载闸室800的截面图。双负载闸室800类似双负载闸室100,区别在于双负载闸室800的灯具组件810用来代替双负载闸室100的远端等离子体源130。石英窗口811设在盖衬套127上方。灯具组件810设在石英窗口811外侧。出自灯具组件810的辐射能经由石英窗口811导向第二腔室容积120。气源812流体连通于第二腔室容积120,以提供处理气体及/或净化用惰性气体。
图9为根据本发明实施例,基板处理系统900的平面图,基板处理系统900包括一个或更多双负载闸室100。双负载闸室800也可用来代替双负载闸室100。
系统900包括真空密闭处理平台904、工厂界面902和系统控制器944。平台904包括多个处理腔室918和至少一个双负载闸室100,处理腔室918和双负载闸室100耦接至真空基板移送室936。在一实施例中,移送室936具有四个侧边920。每一侧边920经配置以连接一对处理腔室918或负载闸室100。如图9所示,六个处理腔室918耦接至移送室936的三个侧边920,两个双负载闸室100则耦接至移送室936的第四个侧边920。工厂界面902经由双负载闸室100耦接至移送室936。
在一实施例中,工厂界面902包含至少一坞站908和至少一工厂界面机器人914,以协助基板传送。坞站908经配置以接受一个或更多前开式晶圆传送盒(FOUP)。图9实施例图示四个FOUP906。工厂界面机器人914具有设在机器人914一端的叶片916,工厂界面机器人914经配置以将基板从工厂界面902经由双负载闸室100传送到处理平台904进行处理。
每一个双负载闸室100具有耦接至工厂界面902的两个进出口和耦接至移送室936的两个进出口。双负载闸室100耦接至压力控制系统(未图示),压力控制系统对双负载闸室100泵抽及通气,以助于在移送室936的真空环境与工厂界面902的实质周围(例如大气)环境间传递基板。
移送室936内设真空机器人937,用以在双负载闸室100与处理腔室918间传送基板924。在一实施例中,真空机器人937具有两个叶片940,每个叶片940能在双负载闸室100与处理腔室918间传送基板924。在一实施例中,真空机器人937经配置以同时传送两个基板924至两个处理腔室918或两个负载闸100。
在一实施例中,至少一处理腔室918是蚀刻室。例如,蚀刻室可为能自应用材料公司购得的去耦等离子体源(DPS)腔室。DPS蚀刻室采用感应源来产生高密度等离子体,且包含射频(RF)功率源来偏压基板。或者,至少一处理腔室918可为HARTTM
Figure BDA00003719910400101
DPS II、PRODUCER E或蚀刻室的其中之一,这些蚀刻室也可自应用材料公司购得。也可使用其他蚀刻室,包括来自其他制造商的蚀刻室。蚀刻室可利用含卤素气体来蚀刻内置基板924。含卤素气体的示例包括溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)等。蚀刻基板924后,含卤素残留物可能会留在基板表面。
可在双负载闸室100中,利用热处理工艺,移除含卤素残留物。例如,可在一或两个双负载闸室100的第二腔室容积120中进行热处理工艺。或者,可在一或两个双负载闸室100的第二腔室容积120中进行灰化工艺。
系统控制器944耦接至处理系统900。系统控制器944藉由直接控制系统900的处理腔室918或藉由控制处理腔室918与系统900相关的计算机(或控制器)来控制系统900的操作。操作时,系统控制器944能实现数据采集,且能实现自各腔室与系统控制器944的反馈,从而最佳化系统900的效能。
系统控制器944通常包括中央处理单元(CPU)938、存储器940和支援电路942。CPU 938可为任一型式的、可以工业设定使用的通用计算机处理器。支援电路942通常耦接至CPU 938,且包含高速缓存、时钟电路、输入/输出子系统、电源等。软件例程例如为以下图10所述移除含卤素残留物的方法1000及/或图11所述灰化方法1100,由CPU 938执行时,软件例程将CPU 938转换成特定用途的计算机(控制器)944。软件例程也可由第二控制器(未图示)储存及/或执行,第二控制器设在系统900远端。
图10为根据本发明一实施例,处理基板的方法1000的流程图。具体而言,方法1000经配置以自基板移除含卤素残留物。方法1000可在图8所图示的处理系统900中进行。应理解方法1000可在其他适合处理系统(包括来自其他制造商的处理系统在内)中进行。
方法1000始于方块1010:将上面设有膜层的基板从FOUP 906之一传送到双负载闸室100,及把含有基板的腔室容积泵抽成等于移送室936的真空等级。在一实施例中,传送到双负载闸室100的基板可从工厂界面902只传送到双负载闸室100的第一腔室容积110。依此,有效减少了已处理与未处理基板间交叉污染。
在另一实施例中,利用双负载闸室100的第二腔室容积120中的加热基板支撑组件132,可预热传送到双负载闸室100的基板达预定温度。在一实施例中,可预热基板达约20℃至约400℃。
在方块1020中,双负载闸室100和移送室936内的压力为实质相等后,真空机器人937将基板从双负载闸室100传送到处理腔室918之一。
在方块1030中,在处理腔室918中蚀刻基板,以于基板上形成预定特征结构和图案。
在一实施例中,藉由供应具至少一种含卤素气体的气体混合物,以在处理腔室918中蚀刻基板。图案化掩模可包括光刻胶掩模及/或硬掩模。适合的含卤素气体示例包括溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)等,但不以此为限。在适于蚀刻多晶硅的示例性实施例中,供应给处理腔室918的气体混合物提供包括溴化氢(HBr)与氯气(Cl2)的气体混合物,供应流率为约20sccm至约300sccm,例如约20sccm至约60sccm,例如约40sccm。溴化氢(HBr)与氯气(Cl2)的气体比可为约1:0至约1:30,例如约1:15。可伴随气体混合物供应惰性气体给处理腔室918。适合的惰性气体示例包括氮气(N2)、氩气(Ar)、氦气(He)等。在一实施例中,可伴随气体混合物供应惰性气体,例如N2,供应流率为约0sccm至约200sccm,例如约0sccm至约40sccm,例如约20sccm。可伴随气体混合物供应还原气体,例如一氧化碳(CO)。用于蚀刻工艺的等离子体功率可维持在约200瓦至约3000瓦,例如约500瓦至约1500瓦,例如约1000瓦,偏压功率可维持在约0瓦至约300瓦,例如约0瓦至约80瓦,例如约20瓦。工艺压力可控制为约2毫托耳(mTorr)至约100毫托耳,例如约2毫托耳至约20毫托耳,例如约4毫托耳,基板温度可维持呈约0℃至约200℃,例如约0℃至约100℃,例如约45℃。
在蚀刻工艺期间,已蚀刻材料可能与蚀刻化学剂的组分结合,以及与掩模层的组分(若有)和蚀刻工艺的副产物结合,而形成含卤素残留物。在一实施例中,基板上的待蚀刻材料包括光刻胶层、硬掩模层、底部抗反射涂层(BARC)、多晶硅、结晶硅、栅极氧化物、金属栅极(例如氮化钛(TiN))和高介电系数(k)材料(例如氧化铝(Al2O3)、含铪氧化物)。适合的硬掩模层示例包括氮化硅、四乙氧基硅烷(TEOS)、氧化硅、无定形碳和碳化硅。含卤素残留物沉积于基板表面。若接触大气压及/或水蒸汽,含卤素残留物会释出(例如除气)气态反应物,例如溴(Br2)、氯气(Cl2)、氯化氢(HCl)、溴化氢(HBr)等。传送基板时,释出此类反应物将对处理设备和工厂界面(例如图1所图示真空密闭处理平台904和工厂界面902)造成腐蚀和微粒污染。在诸如铜(Cu)、铝(Al)、钨(W)等金属层接触基板表面的实施例中,若未通过本发明工艺来移除气态反应物,则金属层会遭释出的气态反应物腐蚀,以致不利地劣化形成于基板上的装置效能。
卤素也可能出现于在真空环境中经除蚀刻外的方式处理的基板表面。故应理解利用本文所述方法和设备当可自这些基板移除卤素。
在方块1040中,将已处理(例如已蚀刻)基板传送到双负载闸室100的第二腔室容积120,以在工厂界面或其他位置接触大气条件或水蒸汽前,移除基板上于方块1030的处理期间产生的含卤素残留物。蚀刻处理后,移送室936中的真空机器人937将已蚀刻基板从处理腔室918传送到双负载闸室100的第二腔室容积120中的升降指状件147。升降指状件147将已蚀刻基板降下并传送到加热基板支撑组件132。
在方块1050中,在已蚀刻基板上进行热处理工艺,以移除已蚀刻基板表面的含卤素残留物。加热基板支撑组件132中的加热器135用于促进基板表面温度上升,进而造成置于已蚀刻基板表面的卤素基反应物释出及/或除气。加热基板支撑组件132在约5秒至约30秒内加热基板达约20℃至约1000℃,例如约150℃至约300℃,例如约250℃。由加热基板支撑组件132快速加热基板能移除已蚀刻基板上的含卤素残留物,又不会增加工艺循环时间(若于处理腔室的其中之一内移除残留物,则可能遇到此问题)。在一实施例中,可由加热基板支撑组件132加热基板达一段预定时间,直到从已蚀刻基板表面移除含卤素残留物为止。利用连接至感测信号接收器139的感测器,决定时间或终点。已蚀刻基板可经加热达约150℃至约300℃,例如约250℃,时长达约10秒至约120秒,例如约30秒至约90秒。
在一实施例中,气体混合物可经由远端等离子体源130提供至双负载闸室100的第二腔室容积120。远端等离子体源130离子化气体混合物。解离的离子和物种促使除气的卤素基反应物转化成非腐蚀性挥发化合物,进而提高从已蚀刻基板表面移除含卤素残留物的效率。气体混合物可包括含氧气体(例如氧气(O2)、臭氧(O3)、水蒸汽(H2O))、含氢气体(例如氢气(H2)、成形气体、水蒸汽(H2O)、烷、烯等)或惰性气体(例如氮气(N2)、氩气(Ar)、氦气(He)等)。例如,气体混合物可包括氧气、氮气和含氢气体。在一实施例中,含氢气体是氢气(H2)与水蒸汽(H2O)的至少一者。在基板上有掩模层的实施例中,可同时移除掩模层和含卤素残留物,例如在负载闸室中剥除掩模的光刻胶。
在一实施例中,远端等离子体源可提供约500瓦至6000瓦的等离子体功率。在存有等离子体的实施例中,可伴随气体混合物供应惰性气体,例如Ar、He或N2
或者,以双负载闸室800代替双负载闸室100时,可由气源812供应气体混合物给第二腔室容积120,同时加热已蚀刻基板。已蚀刻基板接触气体混合物且与气体混合物反应。气体混合物将除气的卤素基反应物转化成非腐蚀性挥发化合物,挥发化合物经泵抽离开双负载闸室100。
任选地,基板可返回系统的处理腔室918之一,以于移出真空环境前,进行附加处理。在方块1050的卤素移除工艺后,后续处理期间,基板不会把卤素带进处理腔室,故可避免破坏处理腔室。
在方块1060中,对第二腔室容积120通气成大气压。任选地,通气时,可利用冷却配接器141,冷却加热基板支撑组件132,使基板温度下降预定程度。在一实施例中,已蚀刻基板经可冷却至约10℃至约125℃,如此已蚀刻基板返回FOUP 906时将不会破坏FOUP 906。
在方块1070中,一旦第二腔室容积120与工厂界面902的压力相称,已蚀刻基板即从负载闸室100的第二腔室容积120返回FOUP 906之一。
在本发明另一实施例中,可在根据本发明实施例的双负载闸室中进行光刻胶移除工艺。图11为方法1100的流程图,方法1100包括当基板离开基板处理系统(例如图9的基板处理系统900)时,在负载闸室中移除基板的光刻胶。
方法1100类似图10的方法1000,除了方法1100包括方块1150所述灰化工艺。
在方块1150中,在双负载闸室100的第二腔室容积120中进行灰化工艺,以从基板移除光刻胶。可使用氧基等离子体。例如,氧化气体(例如O2)按100sccm至10000sccm的流率流入远端等离子体源130。当600瓦至6000瓦的RF能量施加至远端等离子体源130时,氧化气体将形成等离子体。第二腔室容积120内的气体压力可维持在0.3托耳至3托耳。基板温度可维持呈15℃至300℃。可使用各种氧化气体,包括O2、O3、N2O、H2O、CO、CO2、醇类和所述气体的各种组合物,但不以此为限。在本发明的其他实施例中,可使用非氧化气体,包括N2、H2O、H2、合成气体、NH3、CH4、C2H6、各种卤化气体(CF4、NF3、C2F6、C4F8、CH3F、CH2F2、CHF3)、所述气体的组合物等,但不以此为限。
藉由使用送进基板专用的第一腔室容积110及使用送出基板专用的第二腔室容积120,方法1000或1100可结合双负载闸室100。通过使送进和送出基板保持在不同分离路径,本发明实施例可有效防止已处理与未处理基板间交叉污染。
虽然以上是针对本发明实施例说明,但在不脱离本发明基本范围的情况下,当可构思出本发明的其他和进一步实施例,因此本发明范围以后附权利要求来确定。

Claims (15)

1.一种负载闸室,包含:
腔室主体,所述腔室主体界定互相隔离的第一腔室容积和第二腔室容积,其中所述第一腔室容积经由配置以传送基板的两个开口能选择性连接至两个处理环境,所述第二腔室容积选择性连接至所述两个处理环境的至少一者;
加热基板支撑组件,所述加热基板支撑组件设在所述第二腔室容积内,其中所述加热基板支撑组件经配置以支撑及加热放置于上的基板;以及
远端等离子体源,所述远端等离子体源连接至所述第二腔室容积,用以供应等离子体给所述第二腔室容积。
2.如权利要求1所述的负载闸室,进一步包含绝热器,所述绝热器设在所述加热基板支撑组件与所述腔室主体间的所述第二腔室容积内,其中所述加热基板支撑组件不直接接触所述腔室主体。
3.如权利要求2所述的负载闸室,其中所述腔室主体包含:
第一腔室主体,所述第一腔室主体具有顶壁、多个侧壁和腔室底部,其中所述顶壁、所述侧壁和所述腔室底部界定所述第一腔室容积;以及
第二腔室主体,所述第二腔室主体堆迭在所述第一腔室主体的所述顶壁上,其中所述第二腔室主体和所述第一腔室主体的所述顶壁界定所述第二腔室容积。
4.如权利要求3所述的负载闸室,其中所述加热基板支撑组件包含:
上加热板,所述上加热板具有支撑基板放置于上的上表面;
下加热板,所述下加热板附接至所述上加热板的下表面;以及
加热器,所述加热器设在所述上加热板与所述下加热板之间。
5.如权利要求4所述的负载闸室,其中所述加热基板支撑组件进一步包含悬臂管,所述悬臂管附接至所述下加热板的中心。
6.如权利要求4所述的负载闸室,其中所述加热基板支撑组件进一步包含夹持机制,所述夹持机制经配置以将所述基板夹持在所述上加热板的所述上表面。
7.如权利要求3所述的负载闸室,进一步包含喷洒头,所述喷洒头设在由所述第二腔室主体界定的中央开口内,且所述喷洒头经配置以提供处理气体给所述第二腔室容积。
8.如权利要求3所述的负载闸室,进一步包含灯具组件,所述灯具组件设在所述第二腔室主体上方且经配置以朝所述第二腔室容积提供辐射能。
9.如权利要求3所述的负载闸室,其中穿过所述第一腔室主体的所述腔室底部形成有下真空进出口,且所述下真空进出口提供泵抽通道至所述第一腔室容积。
10.如权利要求9所述的负载闸室,其中穿过所述第一腔室主体的所述诸侧壁形成有上真空进出口,所述上真空进出口通往所述第二腔室容积。
11.如权利要求1所述的负载闸室,进一步包含升降箍组件,所述升降箍组件设在所述第二腔室容积内,其中所述升降箍组件包含箍主体,所述箍主体附接至升降机,且所述箍主体围绕所述加热基板支撑组件。
12.如权利要求11所述的负载闸室,其中所述升降箍组件进一步包含三个或更多个升降指状件,所述三个或更多个升降指状件垂直向下延伸且从所述箍主体放射状向内,且所述三个或更多个升降指状件经配置以接收及支撑一基板。
13.如权利要求11所述的负载闸室,其中所述升降箍组件进一步包含附接至所述箍主体的箍衬套,所述箍衬套从所述箍主体向上延伸,且所述箍衬套提供圆形界限壁围绕所述加热基板支撑组件。
14.如权利要求1-13中任一项所述的负载闸室,其中所述第二腔室容积经由经配置以传送基板的多个开口选择性连接至所述两个处理环境。
15.一种从基板移除含卤素残留物的方法,所述方法包含以下步骤:
经由如权利要求1-14中任一项的负载闸室的第一腔室容积,将基板传送到基板处理系统,其中所述负载闸室耦接至所述基板处理系统;
在所述基板处理腔室中,以包含卤素的化学剂蚀刻所述基板;以及
在所述负载闸室的所述第二腔室容积中,从所述已蚀刻基板移除含卤素残留物,其中移除所述含卤素残留物包含以下步骤:
加热设在所述第二腔室容积中的加热基板支撑组件上的所述已蚀刻基板;以及
使处理气体流入所述第二腔室容积。
CN201280010528.6A 2011-03-01 2012-02-29 双负载闸配置的消除及剥离处理腔室 Active CN103403852B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161448027P 2011-03-01 2011-03-01
US61/448,027 2011-03-01
PCT/US2012/027135 WO2012118897A2 (en) 2011-03-01 2012-02-29 Abatement and strip process chamber in a dual loadlock configuration

Publications (2)

Publication Number Publication Date
CN103403852A true CN103403852A (zh) 2013-11-20
CN103403852B CN103403852B (zh) 2016-06-08

Family

ID=46758477

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280010528.6A Active CN103403852B (zh) 2011-03-01 2012-02-29 双负载闸配置的消除及剥离处理腔室

Country Status (6)

Country Link
US (2) US10453694B2 (zh)
JP (1) JP6114698B2 (zh)
KR (1) KR101895307B1 (zh)
CN (1) CN103403852B (zh)
TW (1) TWI555058B (zh)
WO (1) WO2012118897A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105575874A (zh) * 2014-10-29 2016-05-11 Spts科技有限公司 夹具组件
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
CN106169422A (zh) * 2015-05-18 2016-11-30 系统科技公司 热处理装置及热处理方法
CN106548957A (zh) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 一种处理腔以及基片处理系统
CN106548958A (zh) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 一种整合多功能腔以及基片处理系统
CN107958851A (zh) * 2016-10-14 2018-04-24 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
CN111164744A (zh) * 2017-10-16 2020-05-15 应用材料公司 呈双装载锁定配置的高温加热支撑底座
CN113161256A (zh) * 2020-01-07 2021-07-23 东京毅力科创株式会社 水蒸气处理装置及方法、基板处理系统以及干蚀刻方法

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9464732B2 (en) * 2012-04-26 2016-10-11 Applied Materials, Inc. Apparatus for uniform pumping within a substrate process chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101574740B1 (ko) 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US20160068969A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Integrated processing for microcontamination prevention
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6454201B2 (ja) * 2015-03-26 2019-01-16 東京エレクトロン株式会社 基板搬送方法及び基板処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102615853B1 (ko) * 2015-10-15 2023-12-21 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US20180254203A1 (en) * 2017-03-02 2018-09-06 Applied Materials, Inc. Apparatus and method to reduce particle formation on substrates in post selective etch process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101928008B1 (ko) * 2017-04-24 2018-12-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7093464B2 (ja) * 2018-08-01 2022-06-29 北京北方華創微電子装備有限公司 反応チャンバおよびプラズマ装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004319540A (ja) * 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
CN1943003A (zh) * 2004-04-08 2007-04-04 应用材料股份有限公司 用于原位薄膜堆积制程的方法及装置
JP2008251991A (ja) * 2007-03-30 2008-10-16 Tokyo Electron Ltd ロードロック装置および昇圧方法
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JPH07321046A (ja) * 1994-05-23 1995-12-08 Hitachi Ltd 薄膜形成装置及び薄膜形成方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753891A (en) 1994-08-31 1998-05-19 Tokyo Electron Limited Treatment apparatus
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
EP0797241A3 (en) 1996-03-08 2002-05-15 Kokusai Electric Co., Ltd. Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
JPH10189541A (ja) 1996-12-25 1998-07-21 Tokyo Ohka Kogyo Co Ltd 減圧処理方法
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
ATE533178T1 (de) 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
JP3161450B2 (ja) * 1999-02-02 2001-04-25 日本電気株式会社 基板処理装置、ガス供給方法、及び、レーザ光供給方法
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
DE60142320D1 (de) 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) * 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
WO2004038777A1 (ja) 2002-10-24 2004-05-06 Tokyo Electron Limited 熱処理装置
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP2004241420A (ja) * 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6916528B2 (en) * 2003-05-30 2005-07-12 General Electric Company Methods for manufacturing silver multilayered films and the articles obtained therefrom
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4464158B2 (ja) 2004-02-13 2010-05-19 キヤノン株式会社 生化学反応カートリッジ
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
EP1855794B1 (en) 2005-02-22 2012-10-31 Xactix, Inc. Etching chamber with subchamber
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8888950B2 (en) * 2007-03-16 2014-11-18 Charm Engineering Co., Ltd. Apparatus for plasma processing and method for plasma processing
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
CN102027574B (zh) * 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
WO2009119720A1 (ja) * 2008-03-26 2009-10-01 財団法人生産技術研究奨励会 希土類元素の回収方法および回収装置
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR20160064251A (ko) * 2008-10-07 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 에칭된 기판으로부터 할로겐 잔류물들의 효율적인 제거 장치
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101071344B1 (ko) 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101147658B1 (ko) 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP5183659B2 (ja) * 2010-03-23 2013-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
US20120222813A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Vacuum chambers with shared pump
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004319540A (ja) * 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
CN1943003A (zh) * 2004-04-08 2007-04-04 应用材料股份有限公司 用于原位薄膜堆积制程的方法及装置
JP2008251991A (ja) * 2007-03-30 2008-10-16 Tokyo Electron Ltd ロードロック装置および昇圧方法
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105765697A (zh) * 2013-11-26 2016-07-13 应用材料公司 用于批处理的倾斜板及其使用方法
CN105575874A (zh) * 2014-10-29 2016-05-11 Spts科技有限公司 夹具组件
US11056379B2 (en) 2014-10-29 2021-07-06 Spts Technologies Limited Clamp assembly
CN105575874B (zh) * 2014-10-29 2020-05-26 Spts科技有限公司 夹具组件
CN106169422B (zh) * 2015-05-18 2019-04-19 系统科技公司 热处理装置及热处理方法
CN106169422A (zh) * 2015-05-18 2016-11-30 系统科技公司 热处理装置及热处理方法
CN106548957A (zh) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 一种处理腔以及基片处理系统
CN106548958B (zh) * 2015-09-18 2020-09-04 中微半导体设备(上海)股份有限公司 一种整合多功能腔以及基片处理系统
CN106548958A (zh) * 2015-09-18 2017-03-29 中微半导体设备(上海)有限公司 一种整合多功能腔以及基片处理系统
CN107958851A (zh) * 2016-10-14 2018-04-24 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
CN111164744A (zh) * 2017-10-16 2020-05-15 应用材料公司 呈双装载锁定配置的高温加热支撑底座
CN111164744B (zh) * 2017-10-16 2024-04-05 应用材料公司 呈双装载锁定配置的高温加热支撑底座
CN113161256A (zh) * 2020-01-07 2021-07-23 东京毅力科创株式会社 水蒸气处理装置及方法、基板处理系统以及干蚀刻方法
CN113161256B (zh) * 2020-01-07 2024-03-26 东京毅力科创株式会社 水蒸气处理装置及方法、基板处理系统以及干蚀刻方法

Also Published As

Publication number Publication date
WO2012118897A2 (en) 2012-09-07
KR20140012694A (ko) 2014-02-03
JP2014511575A (ja) 2014-05-15
KR101895307B1 (ko) 2018-10-04
US10453694B2 (en) 2019-10-22
CN103403852B (zh) 2016-06-08
TWI555058B (zh) 2016-10-21
US11177136B2 (en) 2021-11-16
TW201237941A (en) 2012-09-16
US20200027742A1 (en) 2020-01-23
JP6114698B2 (ja) 2017-04-12
WO2012118897A3 (en) 2012-11-15
US20130337655A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
CN103403852A (zh) 双负载闸配置的消除及剥离处理腔室
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
CN100487857C (zh) 用于前段工艺制造的原地干洗腔
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
US7846347B2 (en) Method for removing a halogen-containing residue
TWI407521B (zh) 用以從蝕刻基板有效地移除鹵素殘餘物之設備
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
CN106847737A (zh) 配置中的除污及剥除处理腔室
JP3954833B2 (ja) バッチ式真空処理装置
CN101231951A (zh) 利用nh3-nf3化学物质的氧化蚀刻
TW202333281A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
KR20170058282A (ko) 고 쓰루풋을 갖는 초고 선택성 폴리실리콘 에칭
TW201511129A (zh) 用於昇華蝕刻製程之低溫電漿退火製程
US8992689B2 (en) Method for removing halogen-containing residues from substrate
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
JP2021057439A (ja) 成膜方法、及び成膜装置
US20150064880A1 (en) Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant