KR102196746B1 - 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼 - Google Patents

반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼 Download PDF

Info

Publication number
KR102196746B1
KR102196746B1 KR1020197000133A KR20197000133A KR102196746B1 KR 102196746 B1 KR102196746 B1 KR 102196746B1 KR 1020197000133 A KR1020197000133 A KR 1020197000133A KR 20197000133 A KR20197000133 A KR 20197000133A KR 102196746 B1 KR102196746 B1 KR 102196746B1
Authority
KR
South Korea
Prior art keywords
chamber
transfer
substrate
coupled
transfer chamber
Prior art date
Application number
KR1020197000133A
Other languages
English (en)
Other versions
KR20190016537A (ko
Inventor
킨 퐁 로
슈베르트 에스. 추
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/499,100 external-priority patent/US20170350038A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority claimed from PCT/US2017/031590 external-priority patent/WO2017209900A1/en
Publication of KR20190016537A publication Critical patent/KR20190016537A/ko
Application granted granted Critical
Publication of KR102196746B1 publication Critical patent/KR102196746B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 개시내용의 구현들은 일반적으로, 개선된 진공 처리 시스템에 관한 것이다. 일 구현에서, 진공 처리 시스템은, 적어도 하나의 에피택시 프로세스 챔버에 결합된 제1 이송 챔버, 제2 이송 챔버, 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 전이 스테이션, 기판의 표면에서 산화물들을 제거하기 위해 제2 이송 챔버에 결합된 제1 플라즈마 세정 챔버, 및 제2 이송 챔버에 결합된 로드 록 챔버를 포함한다. 전이 스테이션은 제1 이송 챔버 및 제2 이송 챔버에 연결되고, 전이 스테이션은 기판의 표면에서 탄소 함유 오염물질들을 제거하기 위한 제2 플라즈마 세정 챔버를 포함한다.

Description

반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
본 개시내용의 구현들은 일반적으로, 기판의 표면을 세정하기 위한 장치 및 방법에 관한 것이다.
집적 회로들은 규소 및 다른 반도체 기판들에 그리고 그들 상에 형성된다. 단결정 규소의 경우, 기판들은 용융 규소의 배쓰로부터 잉곳을 성장시킨 다음, 고체화된 잉곳을 다수의 기판들로 소잉(sawing)하는 것에 의해 만들어진다. 그 다음, 도핑되거나 도핑되지 않을 수 있는 무결함 규소 층을 형성하기 위해, 에피택셜 규소 층이 단결정질 규소 기판 상에 형성될 수 있다. 반도체 디바이스들, 예컨대, 트랜지스터들이 에피택셜 규소 층으로부터 제조될 수 있다. 형성된 에피택셜 규소 층의 전기적 특성들은 일반적으로, 단결정질 규소 기판의 특성들보다 더 양호하다.
단결정질 규소 및 에피택셜 규소 층의 표면들은, 전형적인 기판 제조 설비 주위 조건들에 노출될 때 오염되기 쉽다. 예를 들어, 기판들의 취급 및/또는 기판 처리 설비 내의 주위 환경에 대한 노출로 인해, 에피택셜 층의 증착 전에, 자연 산화물 층이 단결정질 규소 표면 상에 형성될 수 있다. 추가적으로, 주위 환경에 존재하는 외부 오염물질들, 예컨대, 탄소 및 산소 종들이 단결정질 표면 상에 증착될 수 있다. 단결정질 규소 표면 상의 자연 산화물 층 또는 오염물질들의 존재는, 단결정질 표면 상에 후속하여 형성되는 에피택셜 층의 품질에 부정적인 영향을 미친다. 그러므로, 에피택셜 층들이 기판들 상에서 성장되기 전에 표면 산화 및 다른 오염물질들을 제거하기 위해 기판들을 사전 세정하는 것이 바람직하다. 그러나, 사전 세정 프로세스들은 종종, 하나 이상의 독립형 진공 프로세스 챔버에서 수행되며, 이는 기판 취급 시간 및 기판들을 주위 환경에 노출시킬 기회들을 증가시킬 수 있다.
그러므로, 에피택셜 증착 프로세스를 수행하기 전에 기판 표면을 세정하기 위한, 기판 취급 시간 및 주위 환경에 대한 노출을 최소화하는 개선된 기판 처리 시스템을 제공하는 것이 본 기술분야에 필요하다.
본 개시내용의 구현들은 일반적으로, 기판의 표면에서 오염물질들 및 자연 산화물들을 제거하기 위한 개선된 진공 처리 시스템 및 방법에 관한 것이다. 일 구현에서, 진공 처리 시스템은, 적어도 하나의 처리 챔버에 결합된 제1 이송 챔버, 제2 이송 챔버, 제1 이송 챔버와 제2 이송 챔버 사이에 배치되고 그에 연결되는 전이 스테이션 ― 전이 스테이션은 제1 플라즈마 세정 챔버를 포함함 ―, 제2 이송 챔버에 결합된 제2 플라즈마 세정 챔버, 및 제2 이송 챔버에 결합된 로드 록 챔버를 포함한다.
또 다른 구현에서, 진공 처리 시스템은 제1 기판 취급 메커니즘을 포함하는 제1 이송 챔버, 제1 이송 챔버에 결합된 전이 스테이션 ― 전이 스테이션은 전이 스테이션에 결합되거나 내부에 배치된 제1 플라즈마 세정 챔버를 가짐 ―, 및 제1 이송 챔버에 결합된 적어도 하나의 프로세스 챔버를 포함하고, 적어도 하나의 프로세스 챔버는 에피택시 챔버이다.
또 다른 구현에서, 진공 처리 시스템 내에서 기판을 처리하기 위한 방법이 제공된다. 방법은, 제1 이송 챔버 내에 배치된 제1 로봇 수송 메커니즘을 사용하여 로드 록 챔버로부터 제1 세정 챔버로 기판을 이송하는 단계 ― 제1 세정 챔버는, 기판의 표면으로부터 산화물들을 제거하기 위해, 수소 함유 가스 및 플루오린 함유 가스를 포함하는 세정 가스로부터 형성된 플라즈마를 사용함 ―, 제1 로봇 수송 메커니즘에 의해 제1 세정 챔버로부터 전이 스테이션으로 기판을 이송하는 단계 ― 전이 스테이션은 전이 스테이션에 배치된 제2 세정 챔버를 갖고, 제2 세정 챔버는 기판의 표면에서 탄소 함유 오염물질들을 제거하기 위해 수소 함유 플라즈마를 사용함 ―, 및 제2 이송 챔버 내에 배치된 제2 로봇 수송 메커니즘을 사용하여 제2 세정 챔버로부터, 적어도, 제2 이송 챔버에 결합된 에피택시 프로세스 챔버에 기판을 이송하는 단계를 포함하고, 여기서, 전이 스테이션은 제1 이송 챔버 및 제2 이송 챔버에 연결되고, 기판은 진공 처리 시스템의 진공을 파괴하지 않고 로드 록 챔버, 제1 이송 챔버, 제1 세정 챔버, 제2 세정 챔버, 제2 이송 챔버, 및 에피택시 프로세스 챔버 사이에서 이송된다.
위에서 간략히 요약되고 아래에서 더 상세히 논의되는, 본 개시내용의 구현들은 첨부 도면들에 도시된, 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 일 구현에 따른 처리 순서를 예시한다.
도 2는 본 개시내용의 일 구현에 따른, 도 1의 세정 프로세스를 수행하는 데에 사용되는 세정 챔버의 단면도이다.
도 3은 본 개시내용의 일 구현에 따른, 도 1의 환원 프로세스를 수행하는 데에 사용되는 세정 챔버의 단면도이다.
도 4는 본 개시내용의 구현들에 따른, 도 1의 처리 순서를 완료하는 데에 사용될 수 있는 진공 처리 시스템을 예시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 도면들은 축척에 맞게 도시되지 않았고, 명확성을 위해 간략화될 수 있다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
도 1은 본 개시내용의 일 구현에 따른 처리 순서(100)를 예시한다. 박스(102)에서, 산화물들은 세정 프로세스를 사용하여 반도체 기판의 표면에서 제거된다. 기판은 규소 함유 물질을 포함할 수 있고, 표면은 물질, 예컨대, 규소(Si), 게르마늄(Ge) 또는 규소 게르마늄 합금들(SiGe)을 포함할 수 있다. 일부 구현들에서, Si, Ge 또는 SiGe 표면은 산화물 층, 예컨대, 자연 산화물 층, 및 산화물 층 상에 배치된 오염물질들을 가질 수 있다. 산화물들 및 오염물질들, 예컨대, 탄소 함유 오염물질들에 대한 에피택셜 증착 프로세스들의 민감도로 인해, 가장 전형적인 청정실 환경들에 몇 시간 동안 노출되어 초래된 표면 오염은, 축적된 산화물들 및 오염물질들이, 후속하여 형성된 에피택셜 층의 품질에 영향을 미칠만큼 충분히 중요해질 수 있다.
기판 표면은 산화물 제거 프로세스 및 오염물질 제거 프로세스를 수행함으로써 세정될 수 있다. 일 구현에서, 산화물들은 세정 프로세스를 사용하여 기판의 표면에서 제거되고(박스(102)), 오염물질들, 예컨대, 탄소 함유 오염물질들은 환원 프로세스를 사용하여 기판의 표면에서 제거된다(박스(104)). 세정 프로세스는 플라즈마 식각 프로세스를 포함할 수 있다. 플라즈마 식각 프로세스는 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 플루오린 함유 가스, 예컨대, NF3, 또는 이러한 가스들의 임의의 조합을 포함하는 세정 가스로부터 형성된 플라즈마를 사용할 수 있다. 플라즈마는 유도성 또는 용량성 결합될 수 있거나, 플라즈마는 처리 챔버의 마이크로파 공급원에 의해 활성화될 수 있다. 처리 챔버는 기판이 배치되는 처리 영역으로부터 공간적으로 분리된 원격 플라즈마 챔버일 수 있다. 본원에 설명된 "공간적으로 분리된"이라는 용어는, 하나 이상의 챔버 구성요소, 예컨대, 도 2에 도시된 차단기 판(228) 및 가스 분배 판(230)에 의해, 또는 심지어 원격 플라즈마 챔버와 기판 처리 챔버 사이의 도관에 의해 기판 처리 영역으로부터 분리된 플라즈마 발생 영역을 지칭할 수 있다.
일 구현에서, 플라즈마는 용량성 결합된 플라즈마 공급원을 사용하여 발생된다. 플라즈마로부터의 라디칼들은, 섭씨 약 25 도 내지 섭씨 약 100 도의 온도에서 지지부 상에 위치되는 기판 위에 배치된 가스 분배 판을 통과할 수 있다. 처리 압력은 대기압 미만 압력, 예를 들어, 약 20 mTorr 내지 약 25 mTorr일 수 있다. 라디칼들은 기판에 도달한 다음, 표면 산화물들과 반응한다. 플라즈마 식각 프로세스를 수행하도록 적응될 수 있는 예시적인 처리 챔버들은, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 시코니™(Siconl™) 또는 셀렉트라™(Selectra™) 챔버들을 포함한다. 다른 제조업자들로부터의 챔버들이 또한 사용될 수 있다.
하나의 예시적인 구현에서, 플라즈마 식각 프로세스는 NF3 및 NH3 플라즈마 부산물들에 대한 기판의 동시 노출을 수반하는 원격 플라즈마 보조 건식 식각 프로세스이다. 일 예에서, 플라즈마 식각 프로세스는, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 시코니™ 식각 프로세스와 유사할 수 있거나 이를 포함할 수 있다. 원격 플라즈마 식각은 산화규소 층들에 대해 대체로 등각이고 선택적일 수 있으며, 따라서, 규소가 비정질인지, 결정질인지 또는 다결정질인지에 관계 없이 규소를 쉽게 식각하지 않는다. 원격 플라즈마 프로세스는 기판 산화물 물질이 소비될 때 기판의 표면 상에 성장하는 고체 부산물들을 일반적으로 생성할 것이다. 고체 부산물들은 기판의 온도가 상승될 때 승화를 통해 후속적으로 제거될 수 있다. 플라즈마 식각 프로세스는, 상부에 규소-수소(Si-H) 결합들을 갖는 기판 표면을 초래한다.
박스(104)에서, 기판의 표면에서 산화물들을 제거한 이후, 기판의 표면 상의 임의의 잔여 오염물질들이 제거된다. 박스(104)의 일 구현에서, 오염물질들, 예컨대, 탄소 또는 탄화수소들은 환원 프로세스를 사용하여 기판의 표면에서 제거된다. 환원 프로세스는 오염물질들을 제거하기 위해 수소 함유 플라즈마를 사용할 수 있다. 플라즈마는, 수소 가스(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 또는 이들 가스들의 임의의 조합을 함유하는 세정 가스로부터 형성될 수 있다. 플라즈마는 유도성 또는 용량성 결합될 수 있거나, 플라즈마는 처리 챔버의 마이크로파 공급원에 의해 활성화될 수 있다. 처리 챔버는 기판이 배치되는 처리 챔버로부터 물리적으로 분리된 원격 플라즈마 챔버일 수 있다.
일 구현에서, 환원 프로세스(104)를 수행하기 위해, 원격 플라즈마 공급원(RPS)인 유도성 결합된 플라즈마 공급원을 사용하여 플라즈마가 발생된다. 플라즈마로부터의 라디칼들은, 기판 위에 배치된 가스 분배 판 및 통로관을 통과할 수 있다. 기판은 섭씨 약 25 도 내지 섭씨 약 400 도의 온도에서 지지부 상에 위치된다. 처리 압력은 대기압 미만 압력, 예를 들어, 약 20 mTorr 내지 약 300 Torr, 이를 테면 약 100 mTorr 내지 약 300 mTorr, 예컨대, 약 150 mTorr일 수 있다. 라디칼들은 기판에 도달한 다음, 표면 오염물질들과 반응한다. 환원 프로세스를 수행하도록 적응될 수 있는 예시적인 처리 챔버들은, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 AKTIV 프리-클린™(Pre-Clean™), 시코니™, PCxT 리액티브 프리클린™(Reactive Preclean™)(RPC), 또는 셀렉트라™ 챔버들을 포함한다. 다른 제조업자들로부터의 챔버들이 또한 사용될 수 있다.
박스(106)에서, 에피택셜 층이 기판의 표면 상에 형성된다. 위에서 설명된 바와 같이, 이전에 세정되었다면, 기판의 표면에는 산화물 및 오염물질이 없고, 이는 후속하여 기판의 표면 상에 형성된 에피택셜 층의 품질을 개선한다. 예시적인 에피택셜 프로세스는 섭씨 약 800 도 미만, 예를 들어, 섭씨 약 450 내지 650 도의 온도에서 수행되는 선택적 에피택셜 프로세스일 수 있다. 에피택셜 층은 고온 화학 기상 증착(CVD) 프로세스를 사용하여 형성될 수 있다. 에피택셜 층은 결정질 규소, 게르마늄, 또는 규소 게르마늄, 또는 III-V 족 화합물과 같은 임의의 적합한 반도체 물질일 수 있다. 하나의 예시적인 열 CVD 프로세스에서, 처리 가스들, 예컨대, 디클로로실란, 실란, 디실란, 게르만, 염화수소, 또는 이들의 조합들이 에피택셜 층을 형성하는 데에 사용된다. 처리 온도는 섭씨 800 도 미만이고, 처리 압력은 5 Torr 내지 600 Torr이다. 에피택셜 증착 프로세스를 수행하는 데에 사용될 수 있는 예시적인 처리 챔버는, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한, 센츄라™ 에피(Centura™ Epi) 챔버이다. 다른 제조업자들로부터의 챔버들이 또한 사용될 수 있다.
박스들(102, 104 및 106)은 하나의 처리 시스템, 예컨대, 도 4에 예시된 진공 처리 시스템에서 수행될 수 있다. 박스들(102 및 104)에 설명된 프로세스들이 역으로 될 수 있다는 것이 고려된다. 추가적으로, 박스들(102 및 104)에 설명된 프로세스들은 필요에 따라 여러 번 반복될 수 있다.
도 2는, 박스(102)에서 발견된 프로세스들 중 적어도 일부를 수행하도록 적응되고, 따라서, 기판의 표면으로부터 산화물들을 제거하는 처리 챔버(200)의 단면도이다. 처리 챔버(200)는 열 또는 플라즈마 기반 세정 프로세스 및/또는 플라즈마 보조 건식 식각 프로세스를 수행하는 데에 특히 유용할 수 있다. 처리 챔버(200)는 챔버 본체(212), 덮개 조립체(214), 및 지지 조립체(216)를 포함한다. 덮개 조립체(214)는 챔버 본체(212)의 상단부에 배치되고, 지지 조립체(216)는 챔버 본체(212) 내에 적어도 부분적으로 배치된다. 처리 챔버(200)로부터 가스들을 제거하기 위해 진공 시스템이 사용될 수 있다. 진공 시스템은 챔버 본체(212) 내에 배치된 진공 포트(221)에 결합된 진공 펌프(218)를 포함한다. 처리 챔버(200)는 또한, 처리 챔버(200) 내의 프로세스들을 제어하기 위한 제어기(202)를 포함한다.
덮개 조립체(214)는 플라즈마 체적 또는 공동을 형성하도록 구성된 적어도 2개의 적층된 구성요소들을 포함한다. 제1 전극(220)은, 플라즈마 체적을 한정하기 위해 제2 전극(222) 위에 수직으로 배치된다. 제1 전극(220)은 전원(224), 예컨대, 무선 주파수(RF) 전원에 연결되고, 제2 전극(222)은 접지 또는 기준 전위에 연결되어, 제1 전극(220)과 제2 전극(222) 사이에 커패시턴스를 형성한다. 덮개 조립체(214)는 또한, 차단기 판(228) 및 가스 분배 판(230), 예컨대, 샤워헤드를 통해 기판 표면에 세정 가스를 제공하기 위한 하나 이상의 가스 유입구(226)를 포함한다. 세정 가스는, 수소(H2), 헬륨(He), 아르곤(Ar), 암모니아(NH3), 플루오린 함유 가스, 예컨대, NF3, 또는 이러한 가스들의 임의의 조합을 포함하는 세정 가스로부터 형성된 플라즈마의 라디칼들을 사용할 수 있다.
대안적으로, 기판 표면을 세정하기 위해 상이한 세정 프로세스가 활용될 수 있다. 예를 들어, He 및 NF3를 함유하는 원격 플라즈마가 가스 분배 판(230)을 통해 처리 챔버(200) 내로 도입될 수 있는 반면, NH3는 챔버 본체(212)의 일 측에 배치된 별도의 가스 유입구(225)를 통해 처리 챔버(200) 내로 직접 주입될 수 있다.
지지 조립체(216)는, 처리 동안 상부에 기판(210)을 지지하기 위한 기판 지지부(232)를 포함할 수 있다. 기판 지지부(232)는, 챔버 본체(212)의 바닥에 형성된 중앙에 위치된 개구부를 통해 연장되는 샤프트(236)에 의해 작동기(234)에 결합될 수 있다. 작동기(234)는 샤프트(236) 주위의 진공 누설을 방지하는 벨로우즈(도시되지 않음)에 의해 챔버 본체(212)에 가요적으로 밀봉될 수 있다. 작동기(234)는 기판 지지부(232)가 챔버 본체(212) 내에서 처리 위치와 로딩 위치 사이에서 수직으로 이동되는 것을 허용한다. 로딩 위치는 챔버 본체(212)의 측벽에 형성된 슬릿 밸브의 개구부의 약간 아래에 있다.
기판 지지부(232)는 처리될 기판을 지지부 상에 지지하기 위해 평평하거나, 실질적으로 평평한 기판 지지 표면을 갖는다. 기판 지지부(232)는 샤프트(236)에 의해 기판 지지부(232)에 결합되는 작동기(234)에 의해 챔버 본체(212) 내에서 수직으로 이동될 수 있다. 동작 시에, 기판 지지부(232)는 처리되고 있는 기판(210)의 온도를 제어하기 위해 덮개 조립체(214)에 매우 근접한 위치까지 상승될 수 있다. 이로써, 기판(210)은 분배 판(230)으로부터의 대류 또는 방출되는 복사를 통해 가열될 수 있다.
도 3은, 박스(104)에서 발견된 프로세스들 중 적어도 일부를 수행하도록 적응되고, 따라서, 기판의 표면 상에 축적된 오염물질들, 예컨대, 탄소 또는 탄화수소들을 제거하는 처리 챔버(300)의 단면도이다. 처리 챔버(300)는 챔버 인클로저(316)를 포함하는 챔버 본체(310), 프로세스 키트 하우징(318), 및 덮개(340)를 갖는다. 챔버 인클로저(316) 및 덮개(340)는 알루미늄, 스테인리스강 또는 다른 적합한 물질들로 제조될 수 있다. 프로세스 키트 하우징(318)은 알루미늄 합금 또는 다른 적합한 물질들로 제조될 수 있다. 덮개(340)는 프로세스 키트 하우징(318)을 통해 챔버 인클로저(316)에 제거가능하게 결합된다.
프로세스 키트 하우징(318)은 덮개(340)에 결합되는 최상부 표면 및 챔버 인클로저(316)에 결합되는 바닥 표면을 갖는 링 형상 하우징일 수 있다. 프로세스 키트 하우징(318)은 프로세스 키트 하우징(318)의 내측 표면(331)으로부터 아래로 연장되는 차폐 부분(329)을 갖는다. 프로세스 키트 하우징(318)의 내측 표면(331)은 내측 표면 상의 가스 분배 판(326)을 둘러싸고 지지한다. 가스 분배 판(326)은 석영 샤워헤드일 수 있다. 가스 분배 판(326)과 덮개(340) 사이에 플레넘(348)이 한정된다. 가스 분배 판(326)은, 가스들이 포트(342)를 통해 플레넘(348) 내로 유동하는 것을 허용하기 위해 가스 분배 판(326)의 두께를 통해 형성된 복수의 애퍼처들(327)을 포함한다. 애퍼처들(327)은 기판(308)에 대한 가스들 또는 라디칼들의 균일한 분포를 보장하기 위해 가스 분배 판(326)의 직경에 걸쳐 균등하게 분포된다. 애퍼처들(327)을 통해 유동하는 가스들은, 가스 분배 판(326)과 가열기(314) 사이에 한정된 프로세스 영역(330)에 배치된 기판(308)에 걸쳐 분포된다. 차폐 부분(329)은 또한, 전기적 중성 라디칼들을 프로세스 영역(330) 내에 한정하는 것을 돕는다. 일 예에서, 차폐 부분(329)은 가열기(314)의 에지에 인접한 위치 또는 가열기(314)의 에지 아래의 위치까지 연장된다.
처리 챔버(300)는 통로관(360)에 의해 포트(342)에 결합된 원격 플라즈마 공급원(350)을 포함한다. 포트(342)는 덮개(340)에 형성된다. 통로관(360)은, 제1 내측 직경 및 제1 내측 직경보다 큰 제2 내측 직경을 가질 수 있는 도관(356)을 한정한다. 제1 내측 직경은 원격 플라즈마 공급원(350)에 인접하여 배치될 수 있고, 제2 내측 직경은 덮개(340)에 인접하여 배치될 수 있다. 일 예에서, 제1 내측 직경은 약 12 mm 내지 약 30 mm, 예를 들어, 약 20 mm이고, 제2 내측 직경은 약 35 mm 내지 약 60 mm, 예를 들어, 약 40 mm이다.
통로관(360)은 원격 플라즈마 공급원(350)에서 발생된 이온들을 프로세스 영역(330)에 진입하기 전에 여과하면서, 전기적 중성 라디칼들이 프로세스 영역(330)에 진입하는 것을 허용하도록 구성된다. 따라서, 프로세스 영역(330)에서의 이온들의 상대 농도가 감소된다. 일 구현에서, 도관(356)을 통해 유동하는 가스들은 통로관(360)에 인접하여 배치된 하나 이상의 자석에 의해 발생된 자기장에 의해 여과된다. 자석들은, 원격 플라즈마 공급원(350)으로부터 유동하는 반응성 라디칼들과 비말동반된(entrained) 하전된 입자들을 여과하기 위해 통로관(360)에 걸쳐 자기장을 발생시킨다.
도 3에 도시된 구현에서, 제1 자석(352) 및 제2 자석(354)은 통로관(360)에 인접하여 배치된다. 제1 자석(352) 및 제2 자석들(354)은 영구 자석들 또는 전자석들일 수 있다. 자석들(352, 354)은 통로관(360)의 제1 내측 직경에 걸쳐 서로 대향하도록 배치될 수 있다. 예를 들어, 자석들(352, 354)은 통로관(360)의 외측 주변의 대향 측들 상에 부착 또는 고정될 수 있다. 또한, 자석들(352, 354)이 챔버 본체(310)의 챔버 덮개(340) 또는 다른 구성요소들에 고정될 수 있다는 것이 고려된다. 통로관(360) 내에 형성된 도관(356)과 대향된 자석 사이의 상대 거리는, 도관(356)을 통과하는 자기장의 강도에 영향을 미치고, 이로써 여과 효율에 영향을 미친다. 자기장은 또한, 상이한 자석들을 사용함으로써, 즉, 자석들(352, 354)을 상이한 강도로 교체함으로써 조정될 수 있다. 통과하는 하전된 입자들은 끌어당겨져 통로관(360)의 내측 표면(370)과 접촉하여, 전기적 중성인 비-이온 종들이 된다. 이로써, 여과된 전기적 중성 라디칼들은, 기판의 표면 상의 오염물질들과 반응하고 이를 세정하기 위해, 기판의 표면에 전달된다.
일부 구현들에서, 이온들은 챔버 본체(310) 내로 통과하는 프로세스 가스들(즉, 라디칼들)의 유동 경로에 석영 표면을 제공함으로써 더 여과될 수 있다. 예를 들어, 도관(356)을 한정하는 통로관(360)의 내측 표면(370)은 석영으로 전체적으로 또는 부분적으로 코팅되거나 제조될 수 있다. 추가적으로, 가스 분배 판(326) 및/또는 플레넘(348)을 한정하는 표면들이 또한, 석영으로 전체적으로 또는 적어도 부분적으로 코팅되거나 제조될 수 있다. 예를 들어, 도 3의 구현에서, 최상부 라이너(324)는 프로세스 키트 하우징(318)의 내측 표면(331)을 따라 배치될 수 있다. 최상부 라이너(324)는 플레넘(348)을 둘러싸는 링 형상 본체를 가질 수 있고, 그의 내측 표면은 플레넘(348)의 외측 경계를 한정한다. 최상부 라이너(324)는 석영으로 만들어질 수 있다. 최상부 라이너(324)는 가스 분배 판(326) 상에 놓일 수 있거나, 임의의 다른 적합한 고정 접근법에 의해 지지될 수 있다.
라이너 판(344)은 덮개(340)의 바닥 표면을 따라 배치될 수 있다. 라이너 판(344)은 석영으로 코팅되거나 제조될 수 있다. 라이너 판(344)은 플레넘(348)의 상부 경계를 한정한다. 그러므로, 라이너 판(344), 최상부 라이너(324), 및 가스 분배 판(326)은 내부에 플레넘(348)을 한정한다. 바닥 라이너(325)는 프로세스 키트 하우징(318)의 내측 표면(331)을 따라 배치될 수 있다. 바닥 라이너(325)는 프로세스 영역(330)을 둘러싸는 링 형상 본체를 가질 수 있고, 그의 내측 표면은 프로세스 영역(330)의 외측 경계를 한정한다. 바닥 라이너(325)는 석영으로 코팅되거나 제조될 수 있다. 바닥 라이너(325)는 차폐 부분(329)에 의해 지지될 수 있다. 도시된 바와 같은 일 예에서, 레지(303)는 바닥 라이너(325)를 지지하기 위해 차폐 부분(329)의 단부에서 방사상 내측으로 연장된다. 그러므로, 통로관(360), 라이너 판(344), 최상부 라이너(324), 바닥 라이너(325), 및 가스 분배 판은 함께, 프로세스 가스들의 유동 경로에 석영 표면을 제공한다. 이러한 구성요소들은 다른 챔버 물질들(예를 들어, 알루미늄)과 비교하여 라디칼들의 재결합을 감소시킨다. 이로써, 전기적 중성 라디칼들만이 가스 분배 판을 통해 유동되거나, 가스 분배 판과 처리 챔버의 기판 지지부 사이에 한정된 프로세스 영역에 제공된다. 이러한 전기적 중성 라디칼들은, 원치 않는 물질들, 예컨대, 자연 산화물들을 기판의 표면에서 제거하기 위해, 기판 지지부 상에 배치된 기판의 표면에 도달하고 그와 반응할 때 반응성을 유지할 것이다.
가열기(또는 기판 지지부)(314)는 챔버 본체(310)의 프로세스 영역(330)에 배치된다. 가열기(314)는 중앙 샤프트(341)를 통해 챔버 인클로저(316)의 바닥에 결합된다. 가열기(314)는 프로세스, 예컨대, 박스들(102 및 104)에 대해 위에서 설명된 프로세스들 동안에 상부에 기판(308)을 지지하기 위한 기판 지지 표면을 갖는다. 선택적 포커스 링(338)이 기판 지지 표면의 외측 주변 주위의 가열기(314) 상에 배치될 수 있다. 포커스 링(338)은 프로세스 동안 기판(308) 위의 영역에 플라즈마 또는 중성 종들을 한정한다. 포커스 링(338)은 석영으로 제조될 수 있다.
가열기(314)는, 사파이어 접촉부들 상에 배치된 기판과 기판 지지 표면 사이의 접촉을 최소화하기 위해, 기판 지지 표면 상에 배치된 복수의 사파이어 접촉부들(도시되지 않음)을 갖는 베어 알루미늄으로 제조될 수 있다. 가열기(314)는 구동 유닛(337)에 의해 로딩 위치와 처리 위치 사이에서 수직으로 이동하도록 작동된다. 가열기(314)는 균일한 열 에너지를 기판 지지 표면에 제공하기 위해, 내부에 매립된 하나 이상의 가열 요소(335)를 가질 수 있다. 적합한 가열 요소들(335)은, 다른 가열 디바이스들 중에서도, 저항성 가열기들, 열전 디바이스들, 또는 열 전달 유체를 유동시키기 위한 도관들을 포함할 수 있다. 가열 요소들(335)은 기판(308)의 온도가 약 200 ℃ 내지 약 700 ℃, 또는 그 초과, 예를 들어, 약 300 ℃ 내지 약 350 ℃, 약 350 ℃ 내지 약 450 ℃, 약 450 ℃ 내지 약 550 ℃, 약 550 ℃ 내지 약 650 ℃, 또는 약 650 ℃ 내지 약 750 ℃의 온도 범위로 유지되는 것을 허용한다. 일부 구현들에서, 가열기(314)는, 가열기(314)가 로딩 위치에 위치될 때 기판 취급기(도시되지 않음)가 기판의 에지로부터 기판(308)을 조작할 수 있도록, 기판 지지 표면의 주변 에지를 통해 형성된 절취부들을 가질 수 있다. 세정 프로세스 동안, 기판(308)이 상부에 배치된 가열기(314)는 기판(308)을 처리하기 위한 원하는 위치인 처리 위치에 위치된다.
처리 챔버(300)는 펌프(317)를 포함한다. 펌프(317)는 포어라인(361)을 통해 챔버 본체(310)에 연결된다. 포어라인(361)은 인클로저(316)의 바닥에 형성된 개구부(315)에서 챔버 본체(310)에 연결된다. 챔버(300)는 또한, 포어라인(361)에 배치된 스로틀 밸브(363)를 포함한다. 스로틀 밸브(363)는 가동중인 플라즈마 세정 프로세스를 위해 처리 챔버(300)의 압력을 원하는 진공 범위로 유지하기 위해 어느 정도이든 필요한 정도까지 개방 및 폐쇄되도록 작동된다. 펌프(317) 및 스로틀 밸브(363)는 챔버 본체(310) 내부의 압력을 약 0.005 Torr 내지 750 Torr, 예를 들어, 약 40 Torr 내지 약 500 Torr로 제어한다. 일 예에서, 펌프(317)는 처리 챔버(300) 내부의 압력을 약 0.1 Torr 내지 약 40 Torr, 예를 들어, 약 30 Torr의 예시적인 압력 범위로 유지하는 건식 펌프이다. 일 예에서, 펌프(317)는 처리 챔버(300) 내부의 압력을 약 100 mTorr 내지 약 500 mTorr, 예를 들어, 약 150 mTorr의 예시적인 압력 범위로 유지하는 저압 펌프이다. 일부 예들에서, 펌프(317)는 처리 챔버(300) 내부의 압력을 약 20 mTorr 내지 50 mTorr의 예시적인 압력 범위로 유지하는 터보 펌프이다.
도 4는 본 개시내용의 구현들에 따른, 도 1에 예시된 처리 순서(100)를 완료하는 데에 사용될 수 있는 예시적인 진공 처리 시스템(400)을 예시한다. 도 4에 도시된 바와 같이, 복수의 처리 챔버들(402a, 402b, 402c, 402d)이 제1 이송 챔버(404)에 결합된다. 처리 챔버들(402a-402d)은 임의의 기판 관련 프로세스들, 예컨대, 어닐링, 화학 기상 증착, 물리 기상 증착, 에피택셜 프로세스, 식각 프로세스, 열 산화 또는 열 질화 프로세스, 탈기 등을 수행하는 데에 사용될 수 있다. 일 구현에서, 처리 챔버(402a)는, 결정질 규소 또는 규소 게르마늄을 형성할 수 있는, 에피택시 증착 챔버, 예를 들어, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스로부터 입수가능한 센츄라™ 에피 챔버일 수 있다. 처리 챔버(402b)는 급속 열 처리 챔버(RTP)일 수 있다. 처리 챔버(402c)는 플라즈마 식각 챔버이다. 처리 챔버(402d)는 탈기 챔버일 수 있다. 제1 이송 챔버(404)는 또한, 적어도 하나의 전이 스테이션, 예를 들어, 한 쌍의 패스스루 스테이션들(406, 408)에 결합된다. 패스스루 스테이션들(406, 408)은 진공 조건들을 유지하면서 기판들이 제1 이송 챔버(404)와 제2 이송 챔버(410) 사이에서 이송되는 것을 허용한다. 제1 이송 챔버(404)는 패스스루 스테이션들(406, 408)과 처리 챔버들(402a-402d) 중 임의의 챔버 사이에서 기판들을 이송하기 위한 로봇 기판 취급 메커니즘(도시되지 않음)을 갖는다.
패스스루 스테이션들(406, 408)의 일 단부는 제2 이송 챔버(410)에 결합된다. 그러므로, 제1 이송 챔버(404) 및 제2 이송 챔버(410)는 패스스루 스테이션들(406, 408)에 의해 분리되고 연결된다. 제2 이송 챔버(410)는, 기판의 표면에서 산화물들을 제거하기 위해, 박스(102)에서 발견된 프로세스들 중 적어도 일부를 수행하도록 적응된 플라즈마 챔버, 예컨대, 처리 챔버(200)(도 2)일 수 있는 제1 플라즈마 세정 챔버(414)에 결합된다. 일 구현에서, 제1 플라즈마 세정 챔버(414)는 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스로부터 입수가능한 시코니™ 또는 셀렉트라™ 챔버이다.
일 구현에서, 적어도 하나의 전이 스테이션, 예를 들어, 패스스루 스테이션들(406, 408) 중 하나는 플라즈마 세정 챔버가 되도록 구성된다. 대안적으로, 플라즈마 세정 챔버는 기판의 표면에서 오염물질들을 제거하기 위해 패스스루 스테이션들(406, 408) 중 하나에 결합될 수 있다. 따라서, 처리 시스템(400)은 패스스루 스테이션들(406, 408) 중 하나이거나 그에 연결된 제2 플라즈마 세정 챔버를 가질 수 있다. 도 4에 도시된 일 구현에서, 패스스루 스테이션(406)은 제2 플라즈마 세정 챔버(416)를 포함한다. 제2 플라즈마 세정 챔버(416)는, 기판의 표면에서 오염물질들을 제거하기 위해, 박스(104)에서 발견된 프로세스들 중 적어도 일부를 수행하도록 적응된 처리 챔버(300)(도 3)의 버전일 수 있다. 오직 하나의 플라즈마 세정 챔버(416)만이 패스스루 스테이션에, 이 경우에 패스스루 스테이션(406)에 결합된 것으로 도시되었지만, 플라즈마 세정 챔버(예를 들어, 처리 챔버(300)의 버전)는 패스스루 스테이션들(406, 408) 양쪽 모두에 결합될 수 있다는 점에 주목해야 한다.
제2 이송 챔버(410)는 또한, 로드 록 챔버(412)의 세트와 제1 플라즈마 세정 챔버(414) 또는 제2 플라즈마 세정 챔버(416) 사이에서 기판들을 이송하기 위한 로봇 기판 취급 메커니즘(도시되지 않음)을 갖는다. 팩토리 인터페이스(420)는 로드 록 챔버들(412)에 의해 제2 이송 챔버(410)에 연결된다. 팩토리 인터페이스(420)는 로드 록 챔버들(412)의 대향 측 상의 하나 이상의 포드(430)에 결합된다. 포드들(430)은 전형적으로, 청정실(도시되지 않음)로부터 접근가능한 전방 개구부 통합 포드들(FOUP)이다.
2개의 이송 챔버들이 도시되지만, 이송 챔버들 중 임의의 챔버가 생략될 수 있다는 것이 고려된다. 제2 이송 챔버(410)가 생략된 일 구현에서, 제2 플라즈마 세정 챔버(416)는, 패스스루 스테이션들(406 또는 408)에 의해 점유된 것으로 현재 도시된 위치에서 제1 이송 챔버(404) 내에 배치되거나 제1 이송 챔버(404)에 결합될 수 있다. 제1 이송 챔버(404)는, 결정질 규소 또는 규소 게르마늄을 형성할 수 있는 하나 이상의 처리 챔버, 예컨대, 에피택시 챔버, 예를 들어, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 센츄라™ 에피 챔버에 결합될 수 있다. 대안적으로, 제1 이송 챔버(404)는 생략될 수 있고, 제2 플라즈마 세정 챔버(416)는, 제2 이송 챔버(410)에 결합된 패스스루 스테이션(406) 내에 배치되거나 그에 결합될 수 있다. 그러한 경우에, 제2 이송 챔버(410)는 결정질 규소 또는 규소 게르마늄을 형성할 수 있는 하나 이상의 처리 챔버에 결합되도록 구성될 수 있다.
동작 시에, 기판들은, 로드 록 챔버들(412) 중 하나 내에 배치된 수송 카세트(도시되지 않음)로, 포드들(430)로부터 진공 처리 시스템(400)으로 운반된다. 제2 이송 챔버(410) 내의 로봇 수송 메커니즘은 기판들을 한 번에 하나씩 로드 록 챔버들(412)로부터, 기판의 표면에서 산화물들을 제거하기 위해 세정 프로세스, 예를 들어, 박스(102)에서 발견된 프로세스들이 수행되는 제1 플라즈마 세정 챔버(414)로 수송한다. 일단 산화물들이 기판 표면에서 제거되면, 제2 이송 챔버(410) 내에 배치된 로봇 수송 메커니즘은 기판을 제1 플라즈마 세정 챔버(414)로부터, 기판 표면에서 오염물질들, 예컨대, 탄소 또는 탄화수소들을 제거하기 위해 환원 프로세스, 예를 들어, 박스(104)에서 발견된 프로세스들이 수행되는 제2 플라즈마 세정 챔버(416)로 이송한다. 여기서 단계들은 또한, 역순으로, 즉, 기판을 제2 플라즈마 세정 챔버(416)로부터 제1 플라즈마 세정 챔버(414)로 이송하기 위해 로봇 수송 메커니즘을 사용하여 수행될 수 있다는 것이 고려된다. 어느 경우에든, 세정된 기판들은, 그 다음, 제1 이송 챔버(404) 내에 배치된 로봇 수송 메커니즘에 의해 제2 플라즈마 세정 챔버(416)(또는 제1 플라즈마 세정 챔버(414))로부터 하나 이상의 처리 챔버(402a-402d)로 이송된다. 하나 이상의 처리 챔버(402a-402d)는, 층 형성 프로세스, 예컨대, 박스(106)에서 설명된 에피택셜 증착이 수행되는 에피택시 프로세스 챔버를 포함할 수 있다.
하나 이상의 처리 챔버(402a-402d)에서의 처리의 완료 시에, 제1 이송 챔버(404) 내에 배치된 로봇 수송 메커니즘은 기판을 처리 챔버들(402) 중 어느 하나로부터 패스스루 스테이션(408)으로 이동시킨다. 그 다음, 기판은 제2 이송 챔버(410) 내에 배치된 로봇 수송 메커니즘에 의해 패스스루 스테이션(408)에서 제거되고, 기판이 진공 처리 시스템(400)으로부터 인출되는 다른 로드 록 챔버(412)로 이송된다.
3개의 박스들(102, 104 및 106) 모두의 프로세스들이, 동일한 진공 처리 시스템(400) 내에서 수행되기 때문에, 기판이 다양한 챔버들 사이에서 이송될 때 진공이 파괴되지 않고, 이는 오염의 기회를 감소시키고 증착된 에피택셜 막의 품질을 개선한다. 기판들의 이동은 예시의 목적들로 본원에서 설명된다는 것을 이해해야 한다. 제어기(도시되지 않음)는, 응용에 따라 변할 수 있는 원하는 순서화 프로그램에 따라 진공 처리 시스템(400)을 통해 기판들의 이동을 스케줄링하는 데에 사용될 수 있다.
본 개시내용의 이점들은, 2가지 상이한 유형들의 사전 세정 프로세스 챔버들을 동일한 진공 처리 시스템 상의 에피택셜 프로세스 챔버와 통합하는 개선된 진공 처리 시스템을 포함한다. 사전 세정 프로세스 챔버들은 제1 플라즈마 세정 프로세스 챔버 및 제2 플라즈마 세정 프로세스 챔버를 포함할 수 있다. 동일한 진공 처리 시스템 상의 2가지 유형들의 표면 물질들 제거 챔버의 공존은, 표면 준비와 에피택셜 증착 사이에서 기판들이 진공으로 유지되는 것을 허용하며, 이는 기판들이 주위에 노출되는 시간을 감소시키고 별도의 처리 챔버 또는 시스템 상에 기판들을 준비시킬 필요성을 제거한다. 또한, 이러한 아키텍처는, 2개의 이송 챔버들 사이의 패스스루 스테이션이 사전 세정 프로세스 챔버로서 또한 기능하기 때문에 진공 시스템 상의 프로세스 챔버들의 개수를 최대화하는데, 이는 또한, 기판들의 전체 취급 시간을 감소시킨다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있다.

Claims (17)

  1. 진공 처리 시스템으로서,
    적어도 하나의 처리 챔버에 결합된 제1 이송 챔버;
    제2 이송 챔버;
    상기 제2 이송 챔버에 결합되고, 기판의 표면으로부터 산화물을 제거하도록 구성된 제1 플라즈마 세정 챔버;
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치되고 그에 연결된 전이 스테이션 ― 상기 전이 스테이션은 제1 패스스루 스테이션 및 제2 패스스루 스테이션을 포함하고, 상기 제1 패스스루 스테이션 및 상기 제2 패스스루 스테이션 중 적어도 하나는, 상기 제1 이송 챔버 및 상기 제2 이송 챔버에 결합되고 기판의 표면으로부터 탄소 함유 오염물질들을 제거하도록 구성된 제2 플라즈마 세정 챔버를 포함함 ―;
    상기 제2 이송 챔버에 결합된 로드 록 챔버; 및
    상기 로드 록 챔버에 결합된 팩토리 인터페이스를 포함하고,
    상기 제2 이송 챔버는 상기 제1 플라즈마 세정 챔버, 상기 제2 플라즈마 세정 챔버, 및 상기 로드 록 챔버 사이에서 기판을 이송하도록 구성되며,
    상기 제1 이송 챔버는 상기 적어도 하나의 처리 챔버 및 상기 제2 플라즈마 세정 챔버 사이에서 기판을 이송하도록 구성되는,
    진공 처리 시스템.
  2. 삭제
  3. 제1항에 있어서,
    상기 제2 플라즈마 세정 챔버는,
    기판 지지부를 에워싸는 챔버 본체 ― 상기 기판 지지부는 하나 이상의 가열 요소를 포함함 ―;
    상기 기판 지지부를 수직으로 이동시키기 위해 상기 기판 지지부에 결합된 작동기;
    원격 플라즈마 공급원;
    상기 원격 플라즈마 공급원을 상기 챔버 본체에 결합시키는 통로관;
    상기 통로관에 인접하여 배치된 적어도 하나의 자석;
    상기 챔버 본체 내에 배치된 가스 분배 판 ― 상기 가스 분배 판은 상기 가스 분배 판의 두께를 통해 형성된 복수의 애퍼처들을 갖고, 상기 가스 분배 판 및 상기 기판 지지부는 그 사이에 프로세스 영역을 한정함 ―;
    상기 챔버 본체에 결합된 펌프; 및
    상기 펌프와 상기 챔버 본체 사이에 배치된 스로틀 밸브를 포함하는, 진공 처리 시스템.
  4. 제3항에 있어서,
    상기 하나 이상의 가열 요소는 450 ℃ 내지 650 ℃의 온도 범위까지 물체를 가열할 수 있는, 진공 처리 시스템.
  5. 제3항에 있어서,
    상기 통로관의 내측 표면은 석영으로 코팅되거나 석영으로 제조되는, 진공 처리 시스템.
  6. 제3항에 있어서,
    상기 펌프 및 상기 스로틀 밸브는 상기 제2 플라즈마 세정 챔버 내부의 압력을 프로세스 동안 0.005 Torr 내지 500 Torr의 압력 범위로 유지할 수 있는, 진공 처리 시스템.
  7. 제3항에 있어서,
    상기 챔버 본체는,
    덮개;
    챔버 인클로저;
    상기 덮개의 바닥 표면을 따라 배치된 라이너 판 ― 상기 라이너 판은 석영으로 코팅되거나 석영으로 제조됨 ―;
    상기 덮개와 상기 챔버 인클로저 사이에 배치된 프로세스 키트 하우징 ― 상기 프로세스 키트 하우징의 내측 표면은 상기 가스 분배 판을 지지함 ―;
    상기 프로세스 키트 하우징의 내측 표면을 따라 배치된 최상부 라이너 ― 상기 최상부 라이너는 석영으로 코팅되거나 석영으로 제조되고, 상기 최상부 라이너, 상기 라이너 판, 및 상기 가스 분배 판은 내부에 플레넘을 한정함 ―; 및
    상기 프로세스 키트 하우징의 내측 표면에 배치된 바닥 라이너 ― 상기 바닥 라이너는 석영으로 코팅되거나 석영으로 제조되고, 상기 바닥 라이너는 상기 프로세스 영역의 외측 경계를 한정함 ― 를 더 포함하는, 진공 처리 시스템.
  8. 삭제
  9. 제1항에 있어서,
    상기 제1 플라즈마 세정 챔버는,
    챔버 본체;
    상기 챔버 본체에 결합된 덮개 조립체 ― 상기 덮개 조립체는 그 사이에 플라즈마 체적을 한정하는 2개의 전극들을 포함함 ―;
    상기 챔버 본체에 배치된 기판 지지부;
    상기 덮개 조립체와 상기 기판 지지부 사이에 배치된 가스 분배 판; 및
    상기 챔버 본체에 결합된 진공 펌프를 더 포함하는, 진공 처리 시스템.
  10. 삭제
  11. 제1항에 있어서,
    적어도 하나의 처리 챔버는 에피택시 프로세스 챔버인, 진공 처리 시스템.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 진공 처리 시스템으로서,
    적어도 하나의 처리 챔버에 결합된 제1 이송 챔버 ― 상기 제1 이송 챔버는 제1 로봇 수송 메커니즘을 포함함 ―;
    제2 로봇 수송 메커니즘을 포함하는 제2 이송 챔버;
    상기 제2 이송 챔버에 결합되고, 기판의 표면으로부터 산화물을 제거하도록 구성된 제1 플라즈마 세정 챔버;
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치되고 그에 연결된 전이 스테이션 ― 상기 전이 스테이션은 제1 패스스루 스테이션 및 제2 패스스루 스테이션을 포함하고, 상기 제1 패스스루 스테이션 및 상기 제2 패스스루 스테이션 중 적어도 하나는, 상기 제1 이송 챔버 및 상기 제2 이송 챔버에 결합되고 기판의 표면으로부터 탄소 함유 오염물질들을 제거하도록 구성된 제2 플라즈마 세정 챔버를 포함함 ―;
    상기 제2 이송 챔버에 결합된 로드 록 챔버; 및
    상기 로드 록 챔버에 결합된 팩토리 인터페이스를 포함하고,
    상기 제2 이송 챔버의 상기 제2 로봇 수송 메커니즘은 상기 로드 록 챔버 내에 배치될 기판을 상기 제1 플라즈마 세정 챔버로 그리고 상기 제2 플라즈마 세정 챔버로 이동시키도록 작동가능하고, 상기 제1 이송 챔버의 상기 제1 로봇 수송 메커니즘은 상기 기판을 상기 제2 플라즈마 세정 챔버로부터 상기 제1 이송 챔버의 상기 적어도 하나의 처리 챔버로 이동시키도록 작동가능하고, 상기 기판은, 진공을 파괴하지 않고, 상기 제2 이송 챔버, 상기 제1 플라즈마 세정 챔버 및 상기 제2 플라즈마 세정 챔버, 및 상기 제1 이송 챔버의 상기 적어도 하나의 처리 챔버 사이에서 이동되는, 진공 처리 시스템.
  17. 진공 처리 시스템으로서,
    적어도 하나의 처리 챔버에 결합된 제1 이송 챔버;
    제2 이송 챔버;
    상기 제2 이송 챔버에 결합된 제1 플라즈마 세정 챔버 ― 상기 제1 플라즈마 세정 챔버는 유도성 또는 용량성 결합된 플라즈마 공급원을 이용함 ―;
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치되고 그에 연결된 제1 패스스루 스테이션 ― 상기 제1 패스스루 스테이션은 유도성 또는 용량성 결합된 플라즈마 공급원을 이용하는 제2 플라즈마 세정 챔버를 포함함 ―;
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치되고 그에 연결된 제2 패스스루 스테이션 ― 상기 제2 패스스루 스테이션은 원격 플라즈마 공급원을 이용하는 제3 플라즈마 세정 챔버를 포함함 ―;
    상기 제2 이송 챔버에 결합된 로드 록 챔버; 및
    상기 로드 록 챔버에 결합된 팩토리 인터페이스
    를 포함하는 진공 처리 시스템.
KR1020197000133A 2016-06-03 2017-05-08 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼 KR102196746B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662345160P 2016-06-03 2016-06-03
US62/345,160 2016-06-03
US201762491143P 2017-04-27 2017-04-27
US62/491,143 2017-04-27
US15/499,100 2017-04-27
US15/499,100 US20170350038A1 (en) 2016-06-03 2017-04-27 Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
PCT/US2017/031590 WO2017209900A1 (en) 2016-06-03 2017-05-08 A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Publications (2)

Publication Number Publication Date
KR20190016537A KR20190016537A (ko) 2019-02-18
KR102196746B1 true KR102196746B1 (ko) 2020-12-30

Family

ID=61725115

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197000133A KR102196746B1 (ko) 2016-06-03 2017-05-08 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼

Country Status (3)

Country Link
JP (1) JP7190905B2 (ko)
KR (1) KR102196746B1 (ko)
TW (1) TWI703665B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7114384B2 (ja) * 2018-07-26 2022-08-08 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR20210047971A (ko) * 2013-08-09 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
KR102152811B1 (ko) * 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
TWI643971B (zh) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications

Also Published As

Publication number Publication date
JP7190905B2 (ja) 2022-12-16
KR20190016537A (ko) 2019-02-18
TWI703665B (zh) 2020-09-01
TW201801232A (zh) 2018-01-01
JP2019517736A (ja) 2019-06-24

Similar Documents

Publication Publication Date Title
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US20170350038A1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
JP2020532114A (ja) 一体型エピタキシシステム高温汚染物質除去
KR102196746B1 (ko) 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
WO2017209900A1 (en) A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
KR20240069818A (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
EP4348704A1 (en) Integrated epitaxy and preclean system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant