JP6114698B2 - デュアルロードロック構成内の除害及びストリップ処理チャンバ - Google Patents

デュアルロードロック構成内の除害及びストリップ処理チャンバ Download PDF

Info

Publication number
JP6114698B2
JP6114698B2 JP2013556826A JP2013556826A JP6114698B2 JP 6114698 B2 JP6114698 B2 JP 6114698B2 JP 2013556826 A JP2013556826 A JP 2013556826A JP 2013556826 A JP2013556826 A JP 2013556826A JP 6114698 B2 JP6114698 B2 JP 6114698B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
chamber volume
load lock
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013556826A
Other languages
English (en)
Other versions
JP2014511575A (ja
JP2014511575A5 (ja
Inventor
マーティン ジェフ サリナス
マーティン ジェフ サリナス
ポール ビー ルター
ポール ビー ルター
アニルッダ パル
アニルッダ パル
ジャレド アフマド リー
ジャレド アフマド リー
イマド ヨウシフ
イマド ヨウシフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014511575A publication Critical patent/JP2014511575A/ja
Publication of JP2014511575A5 publication Critical patent/JP2014511575A5/ja
Application granted granted Critical
Publication of JP6114698B2 publication Critical patent/JP6114698B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

背景
(分野)
本発明の実施形態は、概して、半導体基板上にデバイスを製造するための方法及び装置に関する。より具体的には、本発明の実施形態は、2つのチャンバ容積を含むロードロックチャンバに関し、少なくとも1つのチャンバ容積は基板を処理するために構成される。
(関連技術の説明)
本発明の実施形態は、概して、半導体基板上にデバイスを製造するための方法及び装置に関する。より具体的には、本発明の実施形態は、2つのロードロックを含み、基板を処理することができるロードロックチャンバに関する。
超大規模集積(ULSI)回路は、半導体基板(例えば、シリコン(Si)基板)上に形成される百万を超える電子デバイス(例えば、トランジスタ)を含み、デバイス内で様々な機能を実行するように協働することができる。典型的には、ULSI回路に用いられるトランジスタは、相補型金属酸化膜半導体(CMOS)電界効果トランジスタである。CMOSトランジスタは、ポリシリコンゲート電極とゲート誘電体を含むゲート構造を有し、基板内に形成されたソース領域とドレイン領域の間に配置される。
プラズマエッチングは、トランジスタ及び他の電子デバイスの製造に一般的に用いられている。トランジスタ構造を形成するために使用されるプラズマエッチング処理の間、積層膜(例えば、シリコン、ポリシリコン、二酸化ハフニウム(HfO)、二酸化ケイ素(SiO)、金属材料等の層)の1以上の層は、典型的には少なくとも1つのハロゲン含有ガス(例えば、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等)を含むエッチャントに曝露される。このような処理は、エッチングされた構造、エッチングマスク、及び基板上の他の場所の表面上にハロゲン含有残留物が積層する原因となる。
非真空環境(例えば、ファクトリーインターフェース又は基板収納カセット内)に曝露されたとき、及び/又は連続した処理中に、ガス状のハロゲン及びハロゲン系の反応物質(例えば、臭素(Br)、塩素(Cl)、塩化水素(HCl)等)が、エッチング中に堆積したハロゲン含有残留物から解放される場合がある。解放されたハロゲン及びハロゲン系の反応物質は、粒子汚染を作り出し、基板上の金属層の露出部分の腐食のみならず、処理システム及びファクトリーインターフェースの内部の腐食を引き起こす。処理システム及びファクトリーインターフェースの洗浄及び腐食部品の交換は、時間と費用のかかる手順である。
エッチングされた基板上のハロゲン含有残留物を除去するために、いくつかの処理が開発されている。例えば、エッチングされた基板がリモートプラズマリアクタ内へ搬送され、ハロゲン含有残留物を、脱ガスしてリアクタから外へ排出することができる非腐食性の揮発性化合物に転換するガス混合物にエッチングされた基板を曝露させることができる。しかしながら、このような処理は、追加工程と共に専用処理チャンバを必要とし、ツール費用の増加や、製造生産性及びスループットの減少を引き起こし、高い製造コストをもたらす。
したがって、基板からハロゲン含有残留物を除去するための改良された方法及び装置が必要である。
概要
本発明の実施形態は、概して、基板を処理するための装置及び方法を提供する。特に、本発明の実施形態は、例えば、内部に配置された基板を反応種に曝露することによって、基板を処理することが可能なデュアルロードロックチャンバを提供する。
本発明の一実施形態は、ロードロックチャンバを提供する。ロードロックチャンバは、互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体を含む。第1チャンバ容積は、基板搬送用に構成された2つの開口部を介して2つの処理環境に選択的に接続可能である。第2チャンバ容積は、2つの処理環境のうち少なくとも1つに選択的に接続される。ロードロックチャンバは、第2チャンバ容積内に配置された加熱基板支持アセンブリと、第2チャンバ容積にプラズマを供給するための、第2チャンバ容積に接続されたリモートプラズマソースを更に含む。加熱基板支持アセンブリは、上で基板を支持及び加熱するように構成される。
本発明の一実施形態は、デュアルロードロックチャンバを提供する。デュアルロードロックチャンバは、互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体を含む。第1及び第2チャンバ容積の各々は、基板搬送用に構成された2つの開口部を介して2つの別々の隣接した環境に選択的に接続可能である。デュアルロードロックチャンバはまた、第2チャンバ容積内に配置された加熱基板支持アセンブリを含む。加熱基板支持アセンブリは、上で基板を支持及び加熱するように構成される。デュアルロードロックチャンバはまた、第2チャンバ容積に反応種を供給するための、第2チャンバ容積に接続されたリモートプラズマソースを含む。
本発明の別の一実施形態は、デュアルロードロックチャンバを提供する。デュアルロードロックチャンバは、互いに分離した第2チャンバ容積及び下部ロードロック容積を画定するチャンバ本体と、第1チャンバ容積内に配置された基板を支持するように構成された基板支持アセンブリと、第2チャンバ容積内に配置された基板を支持及び加熱するように構成された加熱基板支持アセンブリを含む。第1及び第2チャンバ容積の各々は、基板搬送用に構成された2つの開口部を介して2つの別々の隣接した環境に選択的に接続可能である。デュアルロードロックチャンバはまた、加熱基板支持アセンブリの上方に配置されたシャワーヘッドアセンブリを含み、シャワーヘッドアセンブリは、1以上の処理ガスを第2チャンバ容積へ分配するように構成される。
本発明の更に別の一実施形態は、基板からハロゲン含有残留物を除去するための方法を提供する。本方法は、基板処理システムに結合されたダブルロードロックチャンバの入力ロードロックを介して基板処理システムに基板を搬送する工程と、ハロゲンを含む化学物質で基板を基板処理システム内でエッチングする工程を含む。本方法はまた、デュアルロードロックチャンバの出力ロードロック内でエッチングされた基板からハロゲン含有残留物を除去する工程を含み、単一のチャンバ本体内で出力ロードロックは入力ロードロックから分離している。ハロゲン含有残留物を除去する工程は、出力ロードロックの加熱基板支持アセンブリ上で、エッチングされた基板を加熱する工程と、出力ロードロックに処理ガスを流す工程を含む。
上記の方法において、ハロゲン含有残留物を除去する工程は、加熱基板支持アセンブリを取り囲むフープライナーを使用して対称的な処理環境を作る工程を含む。
上記の方法において、処理ガスを流す工程は、リモートプラズマソース内で処理ガスのプラズマを生成する工程を含むことができる。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係るデュアルロードロックチャンバの概略断面図である。 リフティングフープがローディング/アンローディング位置にある図1のデュアルロードロックチャンバの概略断面図である。 本発明の一実施形態に係るデュアルロードロックチャンバの第2チャンバ容積の概略上面図である。 本発明の一実施形態に係るデュアルロードロックチャンバの第1チャンバ容積の概略上面図である。 本発明の一実施形態に係るデュアルロードロックチャンバの第1チャンバ本体の概略斜視図である。 共に組み立てられた第1チャンバ本体と第2チャンバ本体を示す斜視断面図である。 加熱基板支持アセンブリを取り外して、第2チャンバ本体及び第1チャンバ本体内に形成されたポンピングチャネルを示す斜視断面図である。 本発明の別の一実施形態に係るデュアルロードロックチャンバの概略断面図である。 本発明の実施形態に係るデュアルロードロックチャンバを含む基板処理システムの概略平面図である。 本発明の一実施形態に係る基板処理方法を示すフロー図である。 本発明の別の一実施形態に係る基板処理方法を示すフロー図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を特定の説明なしに他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本発明の実施形態は、半導体基板上にデバイスを製造するための装置及び方法を提供する。より具体的には、本発明の実施形態は、少なくとも1つのチャンバ容積が、例えば、基板を反応種に曝露することによって、基板を処理するように構成される、2つの分離したチャンバ容積を含むデュアルロードロックチャンバに関する。
本発明の一実施形態は、本体アセンブリ内に形成された少なくとも2つの分離したチャンバ容積を有するロードロックチャンバを提供する。2つの分離したチャンバ容積は、縦積み又は横並びに配置できる。2つのチャンバ容積は、スループットを高めるために独立して操作可能である。一実施形態では、第1チャンバ容積は、内部に配置された基板を反応種に曝露するように構成され、例えば、基板からハロゲン残留物を除去する又は基板からフォトレジストを除去する。第2チャンバ容積は、隣接する環境間(例えば、ファクトリインタフェースと搬送チャンバの環境)で交換するためだけに利用される。本発明の一実施形態は、内部で基板を加熱するための薄型加熱基板支持体と、1以上の処理ガスをロードロックチャンバに均一に供給するための、薄型加熱基板支持体の上方に配置されたシャワーヘッドを含むロードロックチャンバを提供する。一実施形態では、シャワーヘッドは、反応種をロードロックチャンバに供給するリモートプラズマソースに接続される。本発明のロードロックチャンバはまた、基板を処理するために利用されるチャンバ容積内に対称的な処理環境を作るためのフープライナーを含むことができる。本発明の一実施形態では、フープライナーは、ロードロックチャンバの外側に配置された基板搬送ロボットによって基板を交換するように構成された1以上のリフトフィンガーに結合することができる。
図1は、本発明の一実施形態に係るデュアルロードロックチャンバ100の概略断面図である。デュアルロードロックチャンバ100は、基板104を搬送するための第1チャンバ容積110と、基板104を搬送し、処理するための第2チャンバ容積120を含む。第2チャンバ容積120と第1チャンバ容積110は、鉛直方向に共に積層され、互いに分離している。
デュアルロードロックチャンバ100は、チャンバ本体アセンブリ103を含む。一実施形態では、チャンバ本体アセンブリ103は、共に結合された第1チャンバ本体111及び第2チャンバ本体121を含み、これによって第1及び第2チャンバ容積120、110を収容する一体構造を画定する。一実施形態では、第1チャンバ本体111及び第2チャンバ本体121は、上下に積まれる。第2チャンバ本体121の下に積まれた第1チャンバ本体111が図示されているが、第1チャンバ本体111は、第2チャンバ本体121の上に積まれる、又は横に並べて配置することもできることが理解される。
デュアルロードロックチャンバ100の第2チャンバ容積120は、シャワーヘッド129と、加熱基板支持アセンブリ132と、リフトフープアセンブリ144を有する。シャワーヘッド129は、加熱基板支持アセンブリ132の上方に配置される。リフトフープアセンブリ144は、加熱基板支持アセンブリ132及び基板搬送ロボット(図示せず)から基板をロード及びアンロードするように動作可能であるのみならず、第2チャンバ容積120内に処理環境を閉じ込めるように構成される。
第2チャンバ容積120は、第2チャンバ本体121の側壁122と、側壁122の上方に配置される蓋ライナー127と、第2チャンバ本体121の底壁123と、第1チャンバ本体111の上壁118によって画定される。蓋ライナー127は、中央開口部127cを形成する内側リップ127aを有する。内側リップ127aは、シャワーヘッド129及びソースアダプタプレート128を保持する。一実施形態では、蓋ライナー127は、第2チャンバ本体121の上方に着脱可能に配置され、これによってチャンバコンポーネントへのアクセスを可能にする。
シャワーヘッド129は、貫通して形成された複数の貫通孔129aを有するフェイスプレート129dと、中央開口部129eを有するバックプレート129cを含む。フェイスプレート129d及びバックプレート129cは、内部容積129bを囲む。内部容積129bは、フェイスプレート129dを貫通して形成された貫通孔129aを介して、第2チャンバ容積120内に供給されたガスの半径方向の均一性を向上させるためのプレナムとして機能する。
ソースアダプタプレート128は、シャワーヘッド129のバックプレート129cの上方に配置される。ソースアダプタプレート128は、シャワーヘッド129の中央開口部129eと一致する中央開口部128aを有する。リモートプラズマソース130は、開口部129e及び128a内に配置された石英インサート131を介して、シャワーヘッド129の内部容積129bと流体連通している。リモートプラズマソース130からの解離された反応種は、石英インサート131を通って、シャワーヘッド129の内部容積129bまで、その後、シャワーヘッド129の貫通孔129aを通って第2チャンバ容積120へ入る。
一実施形態では、シャワーヘッド129は、石英から形成されて製造され、これによってプレナム内の反応種に曝露される内部容積129bの表面は、石英によって補強される。石英インサート131及びシャワーヘッド129は、金属チャンバコンポーネントがリモートプラズマソース130から供給される反応種に曝露されることから保護し、こうして種の再結合、金属チャンバコンポーネントの攻撃、及び粒子生成を実質的に低減する。
リモートプラズマソース130は、一般に、リモートプラズマソース130を介して上部チャンバ容積110に1以上の処理ガスを供給するための1以上のガスパネルに接続される。一実施形態では、リモートプラズマソース130は、エッチング後の残留物を除去する除害処理用の処理ガスを供給するように構成された第1ガスパネル101と、フォトレジストを除去するアッシング処理用の処理ガスを供給するように構成された第2ガスパネル102に接続される。
加熱基板支持アセンブリ132は、デュアルロードロックチャンバ100の第2チャンバ容積120に収まるように構成される。加熱基板支持アセンブリ132は、チャンバ本体アセンブリ103から実質的に断熱されて設置される。一実施形態では、加熱基板支持アセンブリ132は、チャンバ本体アセンブリ103が冷たいままで、300℃まで基板104を加熱するように構成される。
一実施形態では、加熱基板支持アセンブリ132は、上部加熱板133と、上部加熱板133に取り付けられた下部加熱板134と、上部加熱板133と下部加熱板134の間に配置されたヒーター135を含む。一実施形態では、ヒーター135は、下部加熱板134の上面上に形成されたチャネル内に配置することができる。ヒーター135は、抵抗ヒーター又は熱伝達流体を流すために配置された導管であることができる。上部加熱板133及び下部加熱板134は、ボルト締め、溶接又はろう付けによって共に接合することができる。一実施形態では、上部加熱板133及び下部加熱板134は、アルミニウムなどの金属から形成することができる。
上部加熱板133は、基板104の裏側104bを支持するように構成される。一実施形態では、下部加熱板134は、上部加熱板133の外径よりも大きい外径を有する。フォーカスリング151は、上部加熱板133の半径方向外方に露出する下部加熱板134の外端部134a上に配置することができる。フォーカスリング151は、上部加熱板133及び載置された基板104を取り囲む。フォーカスリング151は、基板104を保持し、処理中に基板104の端部領域の周りで処理速度を修正するように機能する。一実施形態では、フォーカスリング151と、上部及び下部加熱板133、134は、リフトフィンガー147のための通路を提供するように構成された適合する切り欠き155を有することができる。
加熱基板支持アセンブリ132は、第2チャンバ本体121の底壁123内の中央開口部123aを通って、第1チャンバ本体111の上壁118上に配置された断熱材143の上に取り付けられている。一実施形態では、凹部118aを第1チャンバ本体111の上壁118上に形成することができる。凹部118aは、第1チャンバ本体111内に形成された真空ポートが第2チャンバ容積120と接続可能にすることができる。加熱基板支持アセンブリ132は、チャンバ本体アセンブリ103に直接は接触しない。断熱材143を熱絶縁性材料(例えば、セラミックス)から形成して、これによって加熱基板支持体アセンブリ132と、第2チャンバ本体121と第1チャンバ本体111の両方を含むチャンバ本体アセンブリ103との間の熱交換を防止することができる。
断熱材143は、第2チャンバ容積120内の他のコンポーネント(例えば、シャワーヘッド129やリフトフープアセンブリ144)に対して、加熱基板支持アセンブリ132をセンタリングするように配置される。一実施形態では、断熱材143は、加熱基板支持アセンブリ132の中心軸132aと一致し、これによって加熱基板支持アセンブリ132は、熱膨張時に中央を維持すること保証する。
カンチレバーチューブ136は、下部加熱板134の中央付近の裏側134bから延在する。カンチレバーチューブ136は、第2チャンバ本体121の開口部153と第1チャンバ本体111の開口部152を貫通して配置された鉛直チューブ137と接続するように、半径方向外方へ延在している。チューブ136、137は、第2チャンバ本体121又は第1チャンバ本体111に接触せず、これによって加熱基板支持アセンブリ132とチャンバ本体111、121の間の熱交換を更に回避する。カンチレバーチューブ136及び鉛直チューブ137は、加熱基板支持アセンブリ132で使用される電源、センサ、他の配線のための通路を提供する。一実施形態では、ヒーター電源138、センサ信号受信機139、及びチャッキング制御ユニット140は、カンチレバーチューブ136及び鉛直チューブ137内の通路を通って、加熱基板支持アセンブリ132に配線されている。一実施形態では、チャッキング制御ユニット140は、真空チャック機構を提供するように構成される。
冷却アダプタ141は、第1チャンバ本体111の外側から鉛直チューブ137及び第1チャンバ本体111に結合されている。冷却アダプタ141は、内部に形成された冷却チャネル141aを有する。冷却流体142の供給源は、冷却チャネル141aに接続され、これによって冷却アダプタ141及び鉛直チューブ137、カンチレバーチューブ136、及び加熱基板支持アセンブリ132の他のコンポーネントに冷却を提供する。冷却アダプタ141は、一般に、処理中に冷えたままであり、したがって加熱基板支持アセンブリ132とチャンバ本体アセンブリ103の間の断熱材として機能する。
一実施形態では、加熱基板支持アセンブリ132の様々な部品を接続するためにバイメタルコネクタを使用して、均一な温度制御を提供することができる。
加熱基板支持アセンブリ132のより詳細な説明は、「薄型加熱基板支持体(Thin Heater Substrate Support)」の名称で、2011年3月1日出願された米国仮特許出願第61/448,018号(整理番号15750)で見出すことができる。
デュアルロードロックチャンバ100はまた、外部ロボットと加熱基板支持アセンブリ132の間の基板の搬送のため、及び第2チャンバ容積120内に対称的な処理環境を提供するためのリフトフープアセンブリ144を含む。リフトフープアセンブリ144は、加熱基板支持アセンブリ132の周りの第2チャンバ容積120内に配置されたリング状のフープ本体146を含む。フープ本体146は、第2チャンバ容積120の外側領域内に配置されたリフト160に結合される。リフト160は、第2チャンバ容積120内でフープ本体146を鉛直方向に移動させる。一実施形態では、リフト160は、鉛直運動用のベローズ161を含む。リフト160は、チャンバ本体アセンブリ103の外側に配置された電動アクチュエータ169に結合することができる。
3以上のリフティングフィンガー147が、フープ本体146に取り付けられている。リフティングフィンガー147は、フープ本体146から鉛直方向下向き及び半径方向内方へ延びている。リフティングフィンガー147は、加熱基板支持アセンブリ132と、第2チャンバ容積120の外部の基板搬送装置(ロボットなど)との間で基板を搬送するように構成される。リフティングフィンガーの先端147aは、基板104の端部領域近くのいくつかの点で基板104を支持するように構成された基板支持面を形成する。
図1は、外部基板搬送装置と基板を交換するための上方位置におけるリフトフープアセンブリ144を示している。図2は、基板処理のための下方位置にリフトフープアセンブリ144を有するデュアルロードロックチャンバ100の概略断面図である。
フープ本体146が、図2に示される下方位置にあるとき、リフティングフィンガー147は、上部加熱板133の上面133aの下に配置される。フープ本体146が上方位置146に上昇するとき、リフティングフィンガー147は、基板104に接触し、加熱基板支持アセンブリ132から基板104を持ち上げるように移動する。フープ本体146が図1に示される上方位置にある間、外部基板搬送装置(図示せず)は、ポートのうちの1つを通して第2チャンバ容積120に入り、リフティングフィンガー147から基板104を取り除き、その後新しい基板104をリフティングフィンガー147上に配置することができる。フープ本体146が再び下方位置に下降するとき、リフティングフィンガー147上に配置された新しい基板104は、処理のために加熱基板支持アセンブリ132上に配置される。
フープライナー145は、フープ本体146に取り付けられている。フープライナー145は、フープ本体146から鉛直方向上方に延在している。一実施形態では、フープライナー145は、実質的に平坦な円筒状の内壁145aを有するリングである。一実施形態では、フープライナー145の内壁145aの高さ145bは、加熱基板支持アセンブリ132の厚さよりもはるかに大きく、内径は加熱基板支持アセンブリ132及びシャワーヘッド129の外径よりも大きく、これによってフープライナー145は、加熱基板支持アセンブリ132及びシャワーヘッド129の周りの処理環境を作ることができる。フープ本体146が、図1に示される上方位置にあるとき、フープライナー145は、蓋ライナー127内に形成された空洞127b内に入ることができる。フープ本体146が下方位置にあるとき、フープライナー145の円筒状の内壁145aは、基板104及び加熱基板支持アセンブリ132の真上の領域の周囲の第2チャンバ容積120内に円形の閉じ込め壁を作り、したがって、基板104に対して対称的な処理環境を提供する。一実施形態では、フープライナー145の高さ145bは十分に大きく、シャワーヘッド129のフェイスプレート129dと加熱基板支持アセンブリ132の間の鉛直方向の空間を覆うのに十分である。一実施形態では、フープライナー145は、石英から形成することができる。
リフトフープアセンブリ144のより詳細な説明は、「基板の搬送及びラジカルの閉じ込めのための方法及び装置(Method and Apparatus for Substrate Transfer and Radical Confinement)」の名称で、2011年3月1日に出願された米国仮特許出願第61/448,012号(整理番号15745)に見出すことができる。
第1チャンバ容積110は、第1チャンバ本体111と、第1チャンバ本体111に取り付けられたチャンバ底112によって画定される。第1チャンバ本体111は、上壁118及び側壁119を有する。上壁118、側壁119、及びチャンバ底112は、第1チャンバ容積110を囲む。基板104を支持し、基板搬送装置(例えば、基板搬送ロボット)によって基板を交換するように構成された基板支持機構は、第1チャンバ容積110内に配置することができる。一実施形態において、基板支持機構は、その裏側104bから基板104を支持するための3以上の支持ピン113を含む。一実施形態では、支持ピン113は、第1チャンバ本体111又はチャンバ底112から固定して延在することができる。支持ピン113は、基板搬送装置と相互作用するように配置される。
第2チャンバ容積120及び第1チャンバ容積110は、真空システム150に結合さる。一実施形態では、第2チャンバ容積120及び第1チャンバ容積110内の圧力は、互いに独立して制御される。
図3は、シャワーヘッド129を取り除いた第2チャンバ本体121の概略上面図である。第2チャンバ本体121は、側壁122及び底壁123を含む。底壁123は、第1チャンバ本体111の上壁118と合うように形成され、これによって密閉されたチャンバ容積及び真空・ユーティリティ用通路を形成する(詳しくは以下)。2つの開口部325が側壁122を貫通して形成され、これによって基板を搬送可能とする。スリットバルブドアが各開口部325の外側に取り付けられ、こうして第2チャンバ容積120と2つの処理環境との間のインターフェースを提供することができる。
図4は、デュアルロードロックチャンバ100の第1チャンバ容積110の概略上面図である。2つの開口部416は、第1チャンバ本体111の側壁119を貫通して形成され、これによって2つの処理環境(例えば、真空搬送チャンバと大気圧のファクトリーインターフェース(いずれも図示せず))間の基板搬送を可能にする。スリットバルブドアを各開口部416の外側に取り付けることができ、これによって第1チャンバ容積110を2つの処理環境(例えば、真空搬送チャンバと大気圧のファクトリーインターフェース)から選択的に密閉することができる。第1チャンバ本体111は、第1チャンバ容積110をポンピングするための、第1チャンバ容積110に開いた下部真空ポート415を有することができる。
一実施形態では、上部真空ポート454もまた、第2チャンバ容積120をポンピングするために第1チャンバ本体111を貫通して形成される。
図5は、本発明の一実施形態に係る第1チャンバ本体111の概略斜視図である。凹部118aが第1チャンバ本体111の上壁118に形成されている。凹部118aは、加熱基板支持アセンブリ132が第2チャンバ容積120内で低く位置し、したがって第2チャンバ容積120を減少させることを可能にする。中央ノッチ543は、加熱基板支持アセンブリ132を支持するための(図1に示される)断熱材143を固定するための凹部118a内に形成することができる。上部真空ポート454は、第1チャンバ本体111の側壁119を貫通して形成され、第1チャンバ本体111の上壁118内に形成された凹部118aに開口している。したがって、凹部118aは、第2チャンバ容積120へのポンピングチャネルを第1チャンバ本体111内に形成することを可能にする。あるいはまた、第2チャンバ本体121の底壁123に形成されたポートに一致するように、凹部118aの外側に真空ポート454を形成してもよい。
一実施形態では、少なくとも1つのグランド511aが、凹部118aの周囲に形成される。シールが各グランド511a内に配置され、これによって第2チャンバ本体121と第1チャンバ本体111の間に真空シールを形成することができる。一実施形態では、2つグランド511aが第1チャンバ本体111の上壁118に形成され、これによって更なる真空シールを提供することができる。
図6は、共に組み立てられた第2チャンバ本体121と第1チャンバ本体111を示す斜視断面図である。第2チャンバ本体121の底壁123に形成された中央開口部123aは、第2チャンバ容積120の内部を第1チャンバ本体111の上壁118の凹部118aと接続する。したがって、第2チャンバ本体121が第1チャンバ本体111に取り付けられると、上部真空ポート454は、第2チャンバ容積120と流体連通する。
図7は、加熱基板支持アセンブリ132を取り外した第2チャンバ本体121と第1チャンバ本体111の斜視断面図である。図7では、下部真空ポート415が示されている。第2チャンバ本体121及び第1チャンバ本体111は、真空シールを得るために、様々な方法で共に結合することができる。一実施形態では、第2チャンバ本体121は、第1チャンバ本体111にボルト締めされる。別の一実施形態では、第1チャンバ本体111と第2チャンバ本体121は、共にロウ付けされ、これによって漏れのリスクを低減し、公差の問題を解消することができる。
図8は、本発明の他の一実施形態に係るデュアルロードロックチャンバ800の概略断面図を示している。デュアルロードロックチャンバ800は、デュアルロードロックチャンバ800内のランプアセンブリ810が、デュアルロードロックチャンバ100内のリモートプラズマソース130の代わりに使用されていることを除いて、デュアルロードロックチャンバ100と同様である。石英窓811は、蓋ライナー127の上に配置される。ランプアセンブリ810は、石英窓811の外側に配置される。ランプアセンブリ810からの放射エネルギーは、石英窓811を通して第2チャンバ容積120に向けることができる。ガス供給源812は、第2チャンバ容積120と流体連通しており、これによって処理ガス及び/又はパージ用の不活性ガスを供給する。
図9は、本発明の実施形態に係る1以上のデュアルロードロックチャンバ100を含む基板処理システム900の概略平面図である。デュアルロードロックチャンバ800は、デュアルロードロックチャンバ100の代わりに用いることもできる。
システム900は、真空気密処理プラットフォーム904と、ファクトリーインターフェース902と、システムコントローラ944を含む。プラットフォーム904は、複数の処理チャンバ918と、真空基板搬送チャンバ936に結合される少なくとも1つのデュアルロードロックチャンバ100を含む。一実施形態では、搬送チャンバ936は、4つの側面920を有することができる。各側面920は、一対の処理チャンバ918又はロードロックチャンバ100と接続するように構成される。図9に示されるように、6つの処理チャンバ918が搬送チャンバ936の3つの側面と結合され、2つのデュアルロードロックチャンバ100が搬送チャンバ936の第4の側面920に結合される。ファクトリーインターフェース902は、デュアルロードロックチャンバ100によって搬送チャンバ936に結合される。
一実施形態では、ファクトリーインターフェース902は、少なくとも1つのドッキングステーション908と、少なくとも1つのファクトリーインターフェースロボット914を含み、これによって基板の搬送を促進する。ドッキングステーション908は、1以上の正面開口式カセット一体型搬送・保管箱(フープ:FOUP)を受け入れるように構成される。図9の実施形態には、4つフープ906が示されている。ロボット914の一端に配置されたブレード916を有するファクトリーインターフェースロボット914は、デュアルロードロックチャンバ100を介してファクトリーインターフェース902から処理用の処理プラットフォーム904に基板を搬送するように構成される。
デュアルロードロックチャンバ100の各々は、ファクトリーインターフェース902に結合された2つのポートと、搬送チャンバ936に結合された2つのポートを有する。デュアルロードロックチャンバ100は、デュアルロードロックチャンバ100を減圧及び通気する圧力制御システム(図示せず)に連結され、これによって搬送チャンバ936の真空環境と、ファクトリーインターフェース902の実質的周囲(例えば、大気)環境との間で基板の受け渡しを促進する。
搬送チャンバ936は、デュアルロードロックチャンバ100及び処理チャンバ918の間で基板924を搬送するための、内部に配置された真空ロボット937を有する。一実施形態では、真空ロボット937は、各々がデュアルロードロックチャンバ100及び処理チャンバ918の間で基板924を搬送可能な2つのブレード940を有する。一実施形態では、真空ロボット937は、2つの基板924を2つの処理チャンバ918又は2つのロードロック100に同時に搬送するように構成される。
一実施形態では、少なくとも1つの処理チャンバ918は、エッチングチャンバである。例えば、エッチングチャンバは、アプライドマテリアルズ社(Applied Materials Inc.)から入手可能な分離型プラズマソース(DPS)チャンバであることができる。DPSエッチングチャンバは、高密度プラズマを生成するために誘導ソースを使用し、基板にバイアスを掛ける高周波(RF)電力の供給源を含む。あるいはまた、処理チャンバ918のうちの少なくとも1つは、これらもアプライドマテリアルズ社から入手可能なHART(商標名)、E−MAX(商標名)、DPS(商標名)、DPS II、PRODUCER E、又はENABLER(商標名)エッチングチャンバのうちの1つであることができる。他のメーカー製のものを含む他のエッチングチャンバを利用することもできる。エッチングチャンバは、内部で基板924をエッチングするためにハロゲン含有ガスを使用することができる。ハロゲン含有ガスの例は、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等を含む。基板924をエッチングした後、ハロゲン含有残留物が基板表面上に残る可能性がある。
ハロゲン含有残留物は、デュアルロードロックチャンバ100内における熱処理工程によって除去することができる。例えば、熱処理工程は、デュアルロードロックチャンバ100の片方又は両方の第2チャンバ容積120内で行うことができる。あるいはまた、デュアルロードロックチャンバ100の片方又は両方の第2チャンバ容積120内で、アッシング処理を行うことができる。
システムコントローラは、処理システム900に結合されている。システムコントローラ944は、システム900の処理チャンバ918の直接制御を用いて、あるいはまた、処理チャンバ918及びシステム900に接続されたコンピュータ(又はコントローラ)を制御することによって、システム900の動作を制御する。動作中、システムコントローラ944は、夫々のチャンバ及びシステムコントローラ944からのデータの取得及びフィードバックによって、システム900の性能の最適化を可能にする。
システムコントローラ944は、一般的に、中央処理装置(CPU)938、メモリ939、及びサポート回路942を含む。CPU938は、工業環境で使用可能な汎用コンピュータプロセッサの任意の形態のいずれであってもよい。サポート回路942は、従来のようにCPU938に結合され、キャッシュ、クロック回路、入力/出力サブシステム、電源等を含むことができる。ソフトウェアルーチン(例えば、図10を参照して以下で説明されるハロゲン含有残留物を除去するための方法1000及び/又は図11を参照して説明されるアッシングのための方法1100)は、CPU938によって実行されたとき、CPU938を特定の目的のコンピュータ(コントローラ)944に変換する。ソフトウェアルーチンは、システム900から離れて配置される第2のコントローラ(図示せず)によって記憶及び/又は実行することもできる。
図10は、本発明の一実施形態に係る基板を処理するための方法1000を示すフローチャートである。特に、方法1000は、基板からハロゲン含有残留物を除去するように構成される。方法1000は、図に記載されるように、処理システム900内で実行することができる。方法1000は、他のメーカー製のものを含む他の適切な処理システム内で実行可能であることが理解される。
方法1000は、フープ906のうちの1つからデュアルロードロックチャンバ100に、層が上部に配置された基板を搬送し、基板を収容するチャンバ容積を搬送チャンバ936の真空度と同等の真空度まで減圧することによって、ボックス1010で始まる。一実施形態では、デュアルロードロックチャンバ100に搬送された基板は、ファクトリーインターフェース902からデュアルロードロックチャンバ100の第1チャンバ容積110内にのみ搬送されてもよい。このように、処理された基板と未処理の基板との間の相互汚染が有利に低減される。
別の一実施形態では、デュアルロードロックチャンバ100に搬送された基板は、ロードロックチャンバ100の第2チャンバ容積120内の加熱基板支持アセンブリ132によって、所定の温度に予熱することができる。一実施形態では、基板は、摂氏約20度〜摂氏約400度の温度に予熱することができる。
ボックス1020では、デュアルロードロックチャンバ100と搬送チャンバ936内の圧力が実質的に等しくなった後で、真空ロボット937が基板をデュアルロードロックチャンバ100から処理チャンバ918のうちの1つに搬送する。
ボックス1030では、基板が処理チャンバ918のうちの1つの中でエッチングされ、これによって基板上に所望の構造及びパターンを形成する。
一実施形態では、少なくともハロゲン含有ガスを有するガス混合物を供給することによって、基板は処理チャンバ918のうちの1つの中でエッチングされる。パターニングされたマスクは、フォトレジスト及び/又はハードマスクを含むことができる。ハロゲン含有ガスの適切な例は、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等を含むが、これらに限定されない。ポリシリコンをエッチングするのに適した例示的な一実施形態では、処理チャンバ918に供給されるガス混合物は、約20sccm〜約300sccm(例えば、約20sccm〜約60sccm(例えば、約40sccm))の流量で臭化水素(HBr)及び塩素(Cl)ガスを含むガス混合物を供給する。臭化水素(HBr)及び塩素(Cl)ガスは、約1:0〜約1:30の範囲(例えば、約1:15)のガス比を有することができる。ガス混合物と共に不活性ガスを処理チャンバ918へ供給することができる。不活性ガスの適切な例は、窒素(N)、アルゴン(Ar)、ヘリウム(He)等を含むことができる。一実施形態では、不活性ガス(例えば、N)は、ガス混合物と共に、約0sccm〜約200sccm(例えば、約0sccm〜約40sccm(例えば、約20sccm))の流量で供給することができる。還元ガス(例えば、一酸化炭素(CO))をガス混合物と共に供給することができる。エッチング処理用のプラズマ電力は、約200ワット〜約3000ワット(例えば、約500ワット〜約1500ワット(例えば、約1100ワット))に維持することができ、バイアス電力は、約0ワット〜約300ワット(例えば、約0ワット〜約80ワット(例えば、約20ワット))に維持することができる。処理圧力は、約2ミリトル〜約100トル(例えば、約2ミリトル〜約20ミリトル(例えば、4ミリトル))に制御することができ、基板温度は、摂氏約0度〜摂氏約200度(例えば、摂氏約0度〜摂氏約100度(例えば、摂氏約45度))に維持することができる。
エッチング工程中、エッチングされた材料は、マスク層の成分、もしもあるならば、エッチング工程の副生成物のみならず、エッチャントの化学物質の成分と結合し、これによってハロゲン含有残留物を形成する可能性がある。一実施形態では、エッチングされる基板上の材料は、フォトレジスト層、ハードマスク層、下部反射防止コーティング(BARC)、ポリシリコン、結晶シリコン、ゲート酸化物、金属ゲート(例えば、窒化チタン(TiN))、高k材料(例えば、酸化アルミニウム(Al)、ハフニウム含有酸化物)を含むことができる。ハードマスク層の適切な例は、窒化シリコン、TEOS、シリコン酸化物、アモルファスカーボン、炭化ケイ素を含む。ハロゲン含有残留物は、基板の表面に堆積する。ハロゲン含有残留物は、大気圧及び/又は水蒸気にさらされるならば、気体反応物質(例えば、臭素(Br)、塩素(Cl)、塩化水素(HCl)、臭化水素(HBr)等)を放出(例えば、脱ガス)することができる。そのような反応物質の放出は、基板搬送中に、処理装置及びファクトリーインターフェース(例えば、図に記載されるような真空気密処理プラットフォーム904及びファクトリーインターフェース902)の腐食及び粒子汚染を引き起こす可能性がある。金属層(例えば、Cu、Al、W)が基板表面に露出している実施形態では、気体反応物質が後述する本発明の処理によって除去されない場合は、放出された気体反応物質によって金属層は腐食し、これによって基板上に形成されたデバイスの性能を悪化させる可能性がある。
ハロゲンは、エッチング以外の方法で真空環境内で処理される基板の表面上にも存在する可能性がある。したがって、ハロゲンは、本明細書内で記載された方法及び装置を使用してこれらの基板から除去可能であることが理解される。
ボックス1040では、処理された(例えば、エッチングされた)基板がデュアルロードロックチャンバ100の第2チャンバ容積120に搬送され、これによってファクトリーインターフェース又は他の場所で大気条件又は水蒸気へ曝露する前に、ボックス1030の処理中に生成された基板からハロゲン含有残留物を除去する。エッチング処理後、搬送チャンバ936内の真空ロボット937は、処理チャンバ918のうちの1つからロードロックチャンバ100の第2チャンバ容積120内のリフティングフィンガー147へエッチングされた基板を搬送する。リフティングフィンガー147は、加熱基板支持アセンブリ132にエッチングされた基板を降下させ、搬送する。
ボックス1050では、エッチングされた基板上に熱処理工程が実行され、これによってエッチングされた基板表面上のハロゲン含有残留物を除去する。基板表面の温度を上昇させるために加熱基板支持アセンブリ132内のヒーター135を使用して、これによってエッチングされた基板表面上に配置されたハロゲン系反応物質の放出及び/又は脱ガスを引き起こす。加熱基板支持アセンブリ132は、約5秒〜約30秒で、摂氏約20度〜摂氏約1000度(例えば、摂氏約150度〜摂氏約300度(例えば、摂氏約250度))の温度に基板を加熱する。加熱基板支持アセンブリ132による基板の急速加熱によって、エッチングされた基板上のハロゲン含有残留物は、処理チャンバのうちの1つの中で残留物が除去される場合に遭遇するであろう処理のサイクルタイムを増加させることなく除去することができる。一実施形態では、エッチングされた基板上のハロゲン含有残留物が除去されるまで、基板は、所定の時間間隔で加熱基板支持アセンブリ132によって加熱することができる。時間又は終点は、センサ信号受信機139に接続されたセンサを用いて決定することができる。エッチングされた基板は、摂氏約150度〜摂氏約300度(例えば、摂氏250度)の温度で、約10秒〜約120秒(例えば、約30秒〜約90秒)間、加熱することができる。
一実施形態では、リモートプラズマソース130を介してデュアルロードロックチャンバ100の第2チャンバ容積120にガス混合物を供給することができる。リモートプラズマソース130は、ガス混合物をイオン化する。解離されたイオン及び種は、脱ガスされたハロゲン系反応物質が非腐食性の揮発性化合物へ転換するのを促進し、これによってエッチングされた基板表面からのハロゲン含有残留物の除去効率を向上させる。ガス混合物は、酸素含有ガス(例えば、O、O、水蒸気(HO))、水素含有ガス(例えば、H、フォーミングガス、水蒸気(HO)、アルカン、アルケン等)、又は不活性ガス(例えば、窒素ガス(N)、アルゴン(Ar)、ヘリウム(He)等)を含むことができる。例えば、ガス混合物は、酸素、窒素、及び水素含有ガスを含むことができる。一実施形態では、水素含有ガスは、水素(H)及び水蒸気(HO)のうちの少なくとも1つである。マスク層が基板上に存在する実施形態では、マスク層はハロゲン含有残留物と同時に除去することができる(例えば、マスクはロードロックチャンバ内でフォトレジストから取り除かれる)。
一実施形態では、リモートプラズマソースは、約500ワット〜6000ワットの間でプラズマ電力を供給することができる。プラズマが存在する実施形態では、不活性ガス(例えば、Ar、He、又はN)をガス混合物と共に供給することができる。
あるいはまた、デュアルロードロックチャンバ800がデュアルロードロックチャンバ100の代わりに使用される場合、エッチングされた基板を加熱しながら、ガス供給源812から第2チャンバ容積120へガス混合物を供給することができる。エッチングされた基板は、ガス混合物に曝露され、ガス混合物と反応する。ガス混合物は、脱ガスされたハロゲン系反応物質を、デュアルロードロックチャンバ100から排出される非腐食性の揮発性化合物に転換する。
オプションとして、真空環境から取り除かれる前に、追加処理用システムの処理チャンバ918のうちの1つに基板を戻すことができる。ボックス1050におけるハロゲン除去工程の後、後続の処理中に、基板が処理チャンバ内にハロゲンを導入することはなく、これによって処理チャンバへの損傷を防止する。
ボックス1060では、第2チャンバ容積120が大気圧に通気される。オプションとして、加熱基板支持体アセンブリ132は、通気中に冷却アダプタ141を介して所望のレベルまで基板温度を下げるために冷却することができる。一実施形態では、エッチングされた基板を摂氏約10℃〜摂氏約125度の範囲の温度に冷却することができ、これによってフープ906に損傷を引き起こすことなく、エッチングされた基板をフープ906に戻すことが可能となる。
ボックス1070では、ロードロックチャンバ100の第2チャンバ容積120からのエッチングされた基板が、第2チャンバの容積120とファクトリーインターフェース902の圧力が一致したら、フープ906のうちの1つに戻る。
本発明の別の一実施形態では、本発明の実施形態に係るデュアルロードロックチャンバ内でフォトレジスト除去処理を実行することができる。図11は、基板が基板処理システム(例えば、図9の基板処理システム900)を出るとき、ロードロックチャンバ内で基板からフォトレジストを除去することを含む方法1100を示すフロー図である。
方法1100は、方法1100がボックス1150に記載されるアッシング処理を含む以外は、図10の方法1000と同様である。
ボックス1150では、デュアルロードロックチャンバ100の第2のチャンバ容積120内でアッシング処理が実行され、基板からフォトレジストが除去される。酸素系プラズマを用いることができる。例えば、酸化ガス(Oなど)が、100〜10,000sccmの流量でリモートプラズマソース130に流される。酸化ガスは、600〜6000ワットのRFエネルギーをリモートプラズマソース130に印加すると、プラズマになる。第2チャンバ容積120内のガス圧力は、0.3〜3トルに維持することができる。基板の温度は、摂氏15〜300度に維持することができる。O、O、NO、HO、CO、CO、アルコール、及びこれらのガスの様々な組合せを含むが、これらに限定されない種々の酸化ガスを使用することができる。本発明の他の実施形態では、N、HO、H、フォーミングガス、NH、CH、C、種々のハロゲン化ガス(CF、NF、C、C、CHF、CH、CHF)、これらのガスの組み合わせ等を含むが、これらに限定されない非酸化ガスを使用することができる。
方法1000又は1100は、第1チャンバ容積110を搬入基板専用に使用し、第2チャンバ容積120を搬出基板専用に使用することによって、デュアルロードロックチャンバ100を組み込むことができる。搬入基板と搬出基板を別々の経路内に保持することによって、本発明の実施形態は、処理された基板と未処理の基板の間の相互汚染を効果的に防止する。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (16)

  1. 互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体であって、第1チャンバ容積は、第1開口部を介して第1処理環境に選択的に接続可能であり、第2開口部を介して第2処理環境に選択的に接続可能であり、第1及び第2開口部は、第1チャンバ容積内への基板搬送用に構成され、第2チャンバ容積は、第3開口部を介して前記第1処理環境に選択的に接続可能であり、第4開口部を介して前記第2処理環境に選択的に接続可能であり、第3及び第4開口部は、第2チャンバ容積内への基板搬送用に構成されるチャンバ本体と、
    第2チャンバ容積内に配置され、上で基板を支持及び加熱するように構成された加熱基板支持アセンブリと、
    第2チャンバ容積にプラズマを供給するための、第2チャンバ容積に接続されたリモートプラズマソースを含むロードロックチャンバ。
  2. 互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体であって、第1チャンバ容積は、基板搬送用に構成された2つの開口部を介して2つの処理環境に選択的に接続可能であり、第2チャンバ容積は、2つの処理環境のうち少なくとも1つに選択的に接続されるチャンバ本体と、
    第2チャンバ容積内に配置され、上で基板を支持及び加熱するように構成された加熱基板支持アセンブリと、
    第2チャンバ容積にプラズマを供給するための、第2チャンバ容積に接続されたリモートプラズマソースと、
    加熱基板支持アセンブリとチャンバ本体の間で第2チャンバ容積内に配置された断熱材を含み、加熱基板支持アセンブリはチャンバ本体に直接接触しないロードロックチャンバ。
  3. チャンバ本体は、
    第1チャンバ容積を画定する上壁、側壁、及びチャンバ底を有する第1チャンバ本体と、
    第1チャンバ本体の上壁上に積まれた第2チャンバ本体であって、第2チャンバ本体と、第1チャンバ本体の上壁は、第2チャンバ容積を画定する第2チャンバ本体を含む請求項2記載のロードロックチャンバ。
  4. 加熱基板支持アセンブリは、
    上で基板を支持するための上面を有する上部加熱板と、
    上部加熱板の下面に取り付けられた下部加熱板と、
    上部加熱板と下部加熱板の間に配置されたヒーターを含む請求項3記載のロードロックチャンバ。
  5. 加熱基板支持アセンブリは、下部加熱板の中央に取り付けられたカンチレバーチューブを含む請求項4記載のロードロックチャンバ。
  6. 加熱基板支持アセンブリは、上部加熱板の上面に基板をチャックするように構成されたチャック機構を含む請求項4記載のロードロックチャンバ。
  7. 第2チャンバ本体によって画定された中央開口部内に配置され、第2チャンバ容積に処理ガスを供給するように構成されたシャワーヘッドを含む請求項3記載のロードロックチャンバ。
  8. 第2チャンバ本体の上方に配置され、第2チャンバ容積に向かって放射エネルギーを供給するように構成されたランプアセンブリを含む請求項3記載のロードロックチャンバ。
  9. 下部真空ポートが第1チャンバ本体のチャンバ底を貫通して形成され、下部真空ポートは、第1チャンバ容積へのポンピングチャネルを提供する請求項3記載のロードロックチャンバ。
  10. 上部真空ポートが第1チャンバ本体の側壁を貫通して形成され、上部真空ポートは、第2チャンバ容積に開口している請求項9記載のロードロックチャンバ。
  11. 第2チャンバ容積内に配置されたリフトフープアセンブリを含み、リフトフープアセンブリは、リフトに取り付けられたフープ本体を含み、フープ本体は、加熱基板支持アセンブリを囲んでいる請求項1記載のロードロックチャンバ。
  12. リフトフープアセンブリは、フープ本体から鉛直方向下方及び半径方向内方に延び、基板を受け取り支持するように構成された3以上のリフティングフィンガーを含む請求項11記載のロードロックチャンバ。
  13. リフトフープアセンブリは、フープ本体に取り付けられたフープライナーを含み、フープライナーは、フープ本体から上方へ延び、加熱基板支持アセンブリの周りに円形の閉じ込め壁を提供する請求項11記載のロードロックチャンバ。
  14. 第2チャンバ容積は、基板搬送用に構成された開口部を通して2つの処理環境に選択的に接続される請求項2記載のロードロックチャンバ。
  15. 基板からハロゲン含有残留物を除去するための方法であって、
    請求項1〜14のいずれか1項記載のロードロックチャンバの第1チャンバ容積を介して、基板処理システムに基板を搬送する工程であって、ロードロックチャンバは、基板処理システムに結合される工程と、
    ハロゲンを含む化学物質で基板を基板処理チャンバ内でエッチングする工程と、
    ロードロックチャンバの第2チャンバ容積内で、エッチングされた基板からハロゲン含有残留物を除去する工程であって、
    第2チャンバ容積内に配置された加熱基板支持アセンブリ上で、エッチングされた基板を加熱する工程と、
    第2チャンバ容積に処理ガスを流す工程を含むハロゲン含有残留物を除去する工程を含む方法。
  16. 互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体であって、第1チャンバ容積は、第1チャンバ容積内への基板搬送用に構成された2つの開口部を介して第1処理環境及び第2処理環境に選択的に接続可能であり、第2チャンバ容積は、第2チャンバ容積内への基板搬送用に構成された2つの開口部を介して前記第1処理環境及び前記第2処理環境に選択的に接続可能であるチャンバ本体と、
    第2チャンバ容積内に配置され、上で基板を支持及び加熱するように構成された加熱基板支持アセンブリと、
    第2チャンバ容積にプラズマを供給するための、第2チャンバ容積に接続されたリモートプラズマソースと、
    加熱基板支持アセンブリとチャンバ本体の間で第2チャンバ容積内に配置された断熱材を含み、加熱基板支持アセンブリはチャンバ本体に直接接触しないロードロックチャンバ。
JP2013556826A 2011-03-01 2012-02-29 デュアルロードロック構成内の除害及びストリップ処理チャンバ Active JP6114698B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161448027P 2011-03-01 2011-03-01
US61/448,027 2011-03-01
PCT/US2012/027135 WO2012118897A2 (en) 2011-03-01 2012-02-29 Abatement and strip process chamber in a dual loadlock configuration

Publications (3)

Publication Number Publication Date
JP2014511575A JP2014511575A (ja) 2014-05-15
JP2014511575A5 JP2014511575A5 (ja) 2015-04-09
JP6114698B2 true JP6114698B2 (ja) 2017-04-12

Family

ID=46758477

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013556826A Active JP6114698B2 (ja) 2011-03-01 2012-02-29 デュアルロードロック構成内の除害及びストリップ処理チャンバ

Country Status (6)

Country Link
US (2) US10453694B2 (ja)
JP (1) JP6114698B2 (ja)
KR (1) KR101895307B1 (ja)
CN (1) CN103403852B (ja)
TW (1) TWI555058B (ja)
WO (1) WO2012118897A2 (ja)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2360720A1 (de) * 2010-02-23 2011-08-24 Saint-Gobain Glass France Vorrichtung zum Positionieren von mindestens zwei Gegenständen, Anordnungen, insbesondere Mehrschichtkörperanordnungen, Anlage zum Prozessieren, insbesondere zum Selenisieren, von Gegenständen, Verfahren zum Positionieren von mindestens zwei Gegenständen
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9464732B2 (en) * 2012-04-26 2016-10-11 Applied Materials, Inc. Apparatus for uniform pumping within a substrate process chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101574740B1 (ko) 2013-08-28 2015-12-04 (주)젠 기상식각 및 세정을 위한 플라즈마 장치
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6529973B2 (ja) * 2013-11-26 2019-06-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッチ処理用傾斜プレート及びその使用方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US20160068969A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Integrated processing for microcontamination prevention
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
GB201419210D0 (en) 2014-10-29 2014-12-10 Spts Technologies Ltd Clamp assembly
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6454201B2 (ja) * 2015-03-26 2019-01-16 東京エレクトロン株式会社 基板搬送方法及び基板処理装置
KR101680071B1 (ko) * 2015-05-18 2016-11-28 (주)에스티아이 열처리 장치 및 열처리 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106548957B (zh) * 2015-09-18 2020-05-08 中微半导体设备(上海)股份有限公司 一种处理腔以及基片处理系统
CN106548958B (zh) * 2015-09-18 2020-09-04 中微半导体设备(上海)股份有限公司 一种整合多功能腔以及基片处理系统
US9929029B2 (en) * 2015-10-15 2018-03-27 Applied Materials, Inc. Substrate carrier system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US20180254203A1 (en) * 2017-03-02 2018-09-06 Applied Materials, Inc. Apparatus and method to reduce particle formation on substrates in post selective etch process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101928008B1 (ko) * 2017-04-24 2018-12-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019078988A1 (en) * 2017-10-16 2019-04-25 Applied Materials, Inc. HEATED SUPPORT BASE AT HIGH TEMPERATURE IN A DUAL LOAD LOCK CONFIGURATION
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020024859A1 (zh) * 2018-08-01 2020-02-06 北京北方华创微电子装备有限公司 反应腔室以及等离子体设备
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
TW202143368A (zh) * 2020-01-07 2021-11-16 日商東京威力科創股份有限公司 水蒸氣處理裝置及水蒸氣處理方法、基板處理系統、以及乾蝕刻方法
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JPH07321046A (ja) * 1994-05-23 1995-12-08 Hitachi Ltd 薄膜形成装置及び薄膜形成方法
US5895549A (en) * 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US20020104206A1 (en) 1996-03-08 2002-08-08 Mitsuhiro Hirano Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
JPH10189541A (ja) 1996-12-25 1998-07-21 Tokyo Ohka Kogyo Co Ltd 減圧処理方法
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
JP2000133633A (ja) 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
JP3161450B2 (ja) * 1999-02-02 2001-04-25 日本電気株式会社 基板処理装置、ガス供給方法、及び、レーザ光供給方法
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
DE60142320D1 (de) 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) * 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
WO2004038777A1 (ja) 2002-10-24 2004-05-06 Tokyo Electron Limited 熱処理装置
JP2004241420A (ja) * 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (ja) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6916528B2 (en) * 2003-05-30 2005-07-12 General Electric Company Methods for manufacturing silver multilayered films and the articles obtained therefrom
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
JP4397655B2 (ja) * 2003-08-28 2010-01-13 キヤノンアネルバ株式会社 スパッタリング装置、電子部品製造装置及び電子部品製造方法
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4464158B2 (ja) 2004-02-13 2010-05-19 キヤノン株式会社 生化学反応カートリッジ
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
JP4927623B2 (ja) * 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
WO2008144670A1 (en) 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
WO2009099661A2 (en) * 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
CN102046820B (zh) * 2008-03-26 2013-07-10 财团法人生产技术研究奖励会 稀土元素的回收方法及回收装置
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
CN103337453B (zh) * 2008-10-07 2017-10-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101071344B1 (ko) 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101147658B1 (ko) 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP5183659B2 (ja) * 2010-03-23 2013-04-17 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
CN103403852B (zh) * 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
CN107164742B (zh) 2011-03-01 2020-10-16 应用材料公司 具有共享泵的真空腔室
KR101970184B1 (ko) 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버

Also Published As

Publication number Publication date
WO2012118897A3 (en) 2012-11-15
KR101895307B1 (ko) 2018-10-04
KR20140012694A (ko) 2014-02-03
US11177136B2 (en) 2021-11-16
US20200027742A1 (en) 2020-01-23
CN103403852B (zh) 2016-06-08
TW201237941A (en) 2012-09-16
US10453694B2 (en) 2019-10-22
US20130337655A1 (en) 2013-12-19
TWI555058B (zh) 2016-10-21
JP2014511575A (ja) 2014-05-15
CN103403852A (zh) 2013-11-20
WO2012118897A2 (en) 2012-09-07

Similar Documents

Publication Publication Date Title
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US7846347B2 (en) Method for removing a halogen-containing residue
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US20060032833A1 (en) Encapsulation of post-etch halogenic residue
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
TW201511129A (zh) 用於昇華蝕刻製程之低溫電漿退火製程
WO2009111344A2 (en) Method and apparatus for removing polymer from a substrate
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
JP2018186123A (ja) チタンシリサイド領域を形成する方法
US10217627B2 (en) Methods of non-destructive post tungsten etch residue removal
US20150064880A1 (en) Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
TW202315685A (zh) 控制製程飄移的製程系統與方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160418

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20161025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170124

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170317

R150 Certificate of patent or registration of utility model

Ref document number: 6114698

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250