KR20160141244A - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20160141244A
KR20160141244A KR1020150076187A KR20150076187A KR20160141244A KR 20160141244 A KR20160141244 A KR 20160141244A KR 1020150076187 A KR1020150076187 A KR 1020150076187A KR 20150076187 A KR20150076187 A KR 20150076187A KR 20160141244 A KR20160141244 A KR 20160141244A
Authority
KR
South Korea
Prior art keywords
substrate
processing
load lock
cooling
chamber
Prior art date
Application number
KR1020150076187A
Other languages
English (en)
Inventor
김병훈
박상종
Original Assignee
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이 주식회사 filed Critical 피에스케이 주식회사
Priority to KR1020150076187A priority Critical patent/KR20160141244A/ko
Priority to TW105115728A priority patent/TWI599005B/zh
Priority to JP2016105631A priority patent/JP2016225625A/ja
Publication of KR20160141244A publication Critical patent/KR20160141244A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

본 발명은 기판 처리 장치에 관한 것이다. 본 발명의 일 실시 예에 따른 기판 처리 장치는 공정 챔버 및 기판이 머무는 로드락 챔버를 포함한다. 로드락 챔버는 기판이 머물고, 공정 챔버에서 공정 처리된 기판에 대해 열처리를 할 수 있고, 열처리가 완료된 기판을 냉각 시킬 수 있다.

Description

기판 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATE}
본 발명은 기판을 처리하는 장치 및 방법에 관한 것이다.
반도체는 일반적으로 막 형성, 패턴 형성, 금속 배선 형성 등을 위한 일련의 단위 공정들이 순차적으로 수행됨으로써 제조된다. 상기 단위 공정들은 일반적으로 공정 챔버 내부에서 진행되며, 반도체 제조 설비는 기판을 공정 챔버 내부로 제공하기 위하여 로드포트, 설비 전방 단부 모듈, 로드락 챔버, 그리고 트랜스퍼 챔버를 포함한다. 로드포트는 기판이 수납된 캐리어를 지지하며, 설비 전방 단부 모듈은 로드포트와 로드락 챔버 간에 기판을 이송하는 이송로봇을 포함한다. 로드락 챔버에는 기판처리가 완료된 기판이 로드포트로 이송되기 전 또는 기판처리에 제공되는 기판이 공정 챔버로 이송되기 전에 대기하며, 트랜스퍼 챔버는 로드락 챔버와 공정 챔버 간에 기판을 이송한다.
도 1은 일반적인 기판 처리 장치를 개략적으로 보여주는 평면도이다. 도 1을 참고하면, 기판 처리 장치(100)는 기판에 가스를 공급하여 기판에 대한 공정을 수행하는 공정 챔버(110) 및 기판이 머물고 내부 압력이 조절되는 로드락 챔버(120)를 포함한다. 일반적으로, 공정 챔버(110)가 진공 챔버로 제공되고 공정 챔버(110)에서 수행되는 공정이 공정 완료 후 열처리가 요구되는 공정인 경우, 로드락 챔버(120)에서는 기판이 머무는 동안 내부의 압력을 공정 챔버(110) 내의 압력 또는 대기압과 유사하게 조절하여 공정 챔버(110) 내외부간 압력의 차이를 완충하는 역할을 하고, 열처리는 하나의 공정 챔버(110) 내에서 가스의 공급에 의한 공정 처리 후 수행되거나, 공정 챔버(110)들 중 가스의 공급에 의한 공정 처리를 수행하는 챔버와 열처리가 수행되는 챔버가 별개로 제공될 수 있다.
열처리가 하나의 공정 챔버 내에서 가스의 공급에 의한 공정 처리 후 수행되는 경우, 하나의 챔버에서 가스의 공급에 의한 공정 처리 및 열처리가 함께 수행되고, 열처리가 수행되는 챔버가 별개로 제공되는 경우, 가스의 공급에 의한 공정 처리를 수행하는 챔버의 수가 줄어들게 되므로 기판을 처리하는 시간이 길어짐으로써, 기판의 생산성이 저하된다.
본 발명은 기판을 처리하는 시간을 줄일 수 있는 장치 및 방법을 제공하기 위한 것이다.
또한, 본 발명은 기판의 생산성을 증대시킬 수 있는 장치 및 방법을 제공하기 위한 것이다.
본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
본 발명은 기판 처리 장치를 제공한다. 본 발명의 일 실시 예에 따른 기판 처리 장치는 설비 전방 단부 모듈;과 상기 설비 전방 단부 모듈에 인접한 처리 모듈을 포함하되, 상기 설비 전방 단부 모듈은, 기판이 수납되는 용기가 놓이는 로드포트와; 상기 용기와 상기 처리 모듈 간에 기판을 반송하는 프레임 로봇이 제공된 프레임을 가지고, 상기 처리 모듈은, 공정 챔버와; 상기 프레임과 인접하게 배치되며, 기판이 머무는 로드락 챔버와; 상기 공정 챔버와 상기 로드락 챔버 간에 기판을 이송하는 메인 로봇이 제공된 이송 챔버를 포함하되, 상기 로드락 챔버는, 내부에 기판을 수용하는 수용 공간을 가지는 하우징;과 상기 수용 공간에 수용된 기판을 가열하는 가열 부재;와 상기 수용 공간에 수용된 기판을 냉각하는 냉각 부재;를 포함한다.
상기 가열 부재 및 상기 냉각 부재는 상하로 서로 마주보도록 제공된다.
상기 가열 부재 및 상기 냉각 부재의 사이에서 기판을 상하 방향으로 이동시키는 승강 부재를 더 포함한다.
상기 가열 부재는 상기 냉각 부재 보다 상부에 제공된다.
상기 냉각 부재는, 기판이 놓이는 플레이트; 및 상기 플레이트의 내부에 제공된 냉각 유로를 포함한다.
상기 플레이트에는 외측면에서 내측으로 만입되고, 상단으로부터 아래 방향으로 연장된 홈이 형성되되, 상기 승강 부재는 기판을 지지하고 상기 홈의 내부를 지나도록 상하 방향으로 이동하는 받침편을 포함한다.
상기 홈은 상기 플레이트의 둘레를 따라 복수개가 형성된다.
상기 로드락 챔버는, 상기 수용 공간의 가스를 외부로 배기하는 배기 라인; 및 상기 배기 라인에 설치된 배기 펌프;를 포함한다.
상기 배기 라인은 상기 하우징의 상면에 연결된다.
상기 가열 부재는 복수개로 제공된 램프를 포함한다.
또한, 본 발명은 상기 기판 처리 장치를 이용하여 기판을 처리하는 기판 처리 방법을 제공한다. 본 발명의 일 실시 예에 따른 기판 처리 방법은 상기 공정 챔버 내에서 기판에 처리 가스를 공급하여 기판을 처리하는 제 1 처리 단계; 및 상기 로드락 챔버 내에서 상기 제 1 처리가 완료된 기판을 처리하는 제 2 처리 단계를 포함한다.
상기 제 1 처리 단계는 기판에 처리 가스를 공급하여 상기 기판에 반응 부산물을 생성시키는 공정이다.
상기 제 2 처리 단계는 상기 가열 부재가 기판을 가열하는 열처리 단계를 포함한다.
상기 제 2 처리 단계는 상기 냉각 부재가 상기 열처리가 완료된 기판을 냉각하는 냉각 단계를 더 포함한다.
상기 제 1 처리 단계는 건식 세정 공정, 에치백(Etchback) 공정 또는 포토 레지스트(PR: PhotoResist)를 제거하는 공정을 포함한다.
상기 승화 처리 단계는 상기 열처리 단계 이전에, 기판이 메인 로봇에 의해 상기 플레이트에 안착되는 단계; 및 상기 플레이트에 안착된 기판이 상기 승강 부재에 의해 상기 가열 부재에 인접한 위치까지 상승되는 단계;를 더 포함한다.
상기 냉각 단계 이전에, 상기 가열 부재에 인접한 위치에 위치된 기판이 상기 승강 부재에 의해 상기 플레이트에 안착되도록 하강되는 단계;를 더 포함한다.
또한 본 발명은 내부 압력을 상압과 진공압 간에 조절할 수 있는 로드락 챔버 및 기판에 대해 공정 처리가 수행되는 공정 챔버를 포함하는 기판 처리 장치를 이용하여 기판을 처리하는 기판 처리 방법을 제공한다. 본 발명의 일 실시 예에 따른 기판 처리 방법은 상기 공정 챔버 내에서 기판에 처리 가스를 공급하여 기판에 반응 부산물을 생성시키는 반응 부산물 생성 단계; 및 상기 로드락 챔버 내에서 기판을 가열하여 상기 반응 부산물을 승화시키는 승화 처리 단계를 포함한다.
상기 승화 처리 단계는 상기 로드락 챔버 내에서 상기 기판을 냉각시키는 냉각 단계를 더 포함한다.
상기 반응 부산물 생성 단계는 건식 세정 공정을 포함한다.
상기 반응 부산물 생성 단계는 에치백(Etchback) 공정을 포함한다.
상기 처리 가스는 질소, 수소 또는 플루오르 성분을 포함하고, 상기 반응 부산물은 (NHxF)ySiF를 포함하며, 상기 열처리는 기판을 100℃이상으로 가열하는 것을 포함한다.
상기 냉각이 완료된 기판을 상압 상태에서 처리하는 습식 처리 단계를 더 포함한다.
본 발명의 일 실시 예에 따른 장치 및 방법은 기판을 처리하는 시간을 줄일 수 있다.
또한, 본 발명의 일 실시 예에 따른 장치 및 방법은 기판의 생산성을 증대시킬 수 있다.
도 1은 일반적인 기판 처리 장치를 개략적으로 보여주는 평면도이다.
도 2는 본 발명의 실시 예에 따른 기판 처리 장치를 개략적으로 보여주는 평면도이다.
도 3은 도 2의 로드락 챔버를 개략적으로 나타낸 단면도이다.
도 4는 도 3의 플레이트를 개략적으로 나타낸 사시도이다.
도 5는 도 3의 승강 부재의 일부 및 플레이트의 일부를 나타낸 단면도이다.
도 6은 도 6은 본 발명의 기판 처리 방법을 나타낸 순서도이다.
이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
본 실시예에서는 클러스터 타입의 구조를 가지는 기판 처리 장치를 예로 들어 설명한다. 그러나 본 발명의 기술적 사상은 이에 한정되지 않으며, 본 발명의 특징은 다양한 구조의 장치에 적용 가능하다.
또한, 본 실시예에서는 기판으로서 반도체 칩 제조를 위한 웨이퍼를 예로 들어 설명한다. 그러나 본 발명의 기판 처리 장치에 의해 처리되는 기판은 웨이퍼로 한정되지 않는다. 예컨대, 기판은 유리 기판 등과 같이 판 형상을 가지는 다양한 종류일 수 있다.
도 2는 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 평면도이다. 도 2를 참조하면, 기판 처리 장치(1)는 설비 전방 단부 모듈(equipment front end module)(10)과 처리 모듈(20)을 가진다.
설비 전방 단부 모듈(10)은 처리 모듈(20)의 전방에 장착되어, 웨이퍼들(W)이 수용된 용기(16)와 처리 모듈(20) 간에 웨이퍼(W)를 이송한다. 설비 전방 단부 모듈(10)은 복수의 로드포트들(loadports)(12)과 프레임(frame)(14)을 가진다. 프레임(14)은 로드포트(12)와 처리 모듈(20) 사이에 위치된다. 웨이퍼(W)를 수용하는 용기(16)는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시되지 않음)에 의해 로드포트(12) 상에 놓여진다. 용기(16)는 전면 개방 일체식 포드(front open unified pod)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(14) 내에는 로드포트(12)에 놓여진 용기(16)와 처리 모듈(20) 간에 웨이퍼(W)를 이송하는 프레임 로봇(18)이 설치된다. 프레임(14) 내에는 용기(16)의 도어를 자동으로 개폐하는 도어 오프너(도시되지 않음)가 설치될 수 있다. 또한, 프레임(14)에는 청정 공기가 프레임(14) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(14) 내로 공급하는 팬필터 유닛(fan filter unit)(도시되지 않음)이 제공될 수 있다.
처리 모듈(20)은 설비 전방 단부 모듈(10)에 인접하게 제공된다. 처리 모듈(20)은 로드락 챔버(loadlock chamber)(22), 이송 챔버(transfer chamber)(24), 그리고 공정 챔버(process chamber)(26)를 가진다. 이송 챔버(24)는 상부에서 바라볼 때 대체로 다각의 형상을 가진다. 이송 챔버(24)의 측면에는 로드락 챔버(22) 또는 공정 챔버(26)가 위치된다.
도 3은 도 2의 로드락 챔버를 개략적으로 나타낸 단면도이다. 도 2 및 도 3을 참고하면, 로드락 챔버(22)는 이송 챔버(24)의 측부들 중 설비 전방 단부 모듈(10)의 프레임(14)과 인접한 측부에 위치되고, 공정 챔버(26)는 다른 측부에 위치된다. 로드락 챔버(22)는 하나 또는 복수개가 제공된다. 일 예에 의하면, 로드락 챔버(22)는 두 개가 제공된다. 두 개의 로드락 챔버들(22) 중 하나에는 공정 진행을 위해 처리 모듈(20)로 유입되는 웨이퍼들(W)이 일시적으로 머무르고, 다른 하나에는 공정이 완료되어 처리 모듈(20)로부터 유출되는 웨이퍼들(W)이 일시적으로 머무를 수 있다. 이와 달리 로드락 챔버(22)는 하나 또는 복수개 제공되고, 각각의 로드락 챔버(22)에서 웨이퍼의 로딩 및 언로딩이 이루어질 수 있다. 또한, 로드락 챔버(22)는 복수개가 상하 방향으로 적층되게 제공될 수 있다.
이송 챔버(24) 및 공정 챔버(26) 내부는 진공으로 유지되고, 로드락 챔버(22) 내부는 진공압 및 대기압 간에 조절되도록 제공된다. 로드락 챔버(22)는 외부 오염물질이 이송 챔버(24) 및 공정 챔버(26)로 유입되는 것을 방지한다. 로드락 챔버(22)와 이송 챔버(24) 사이, 그리고 로드락 챔버(22)와 설비 전방 단부 모듈(10) 사이에는 게이트 밸브(도시되지 않음)가 설치된다. 설비 전방 단부 모듈(10)과 로드락 챔버(22) 간에 웨이퍼(W)가 이동되는 경우 로드락 챔버(22)와 이송 챔버(24) 사이에 제공되는 게이트 밸브가 닫히고, 로드락 챔버(22)와 이송 챔버(24) 간에 웨이퍼(W)가 이동되는 경우, 로드락 챔버(22)와 설비 전방 단부 모듈(10) 사이에 제공되는 게이트 밸브가 닫힌다.
또한, 본 발명의 일 실시 예에 따른 기판 처리 장치(1)의 로드락 챔버(22)는 공정 챔버(26)내에서 공정 처리된 웨이퍼(W)에 대한 열처리 및 열처리가 완료된 웨이퍼(W)에 대한 냉각을 수행할 수 있다. 예를 들면, 공정 챔버(26)에서 기판에 생성된 반응 생성물을 열처리 함으로써, 승화(Sublimation) 처리하고, 웨이퍼(W)를 반출하기 전에 승화 처리된 웨이퍼(W)를 일정 온도로 냉각한다.
로드락 챔버(22)는 하우징(200), 가열 부재(300), 냉각 부재(400), 승강 부재(500), 배기 라인(600) 및 배기 펌프(700)를 포함한다.
하우징(200)은 내부에 웨이퍼(W)를 수용하는 수용 공간(210)을 가진다.
가열 부재(300)는 수용 공간(210)에 수용된 웨이퍼(W)를 가열한다. 가열 부재(300)는 램프로 제공될 수 있다. 예를 들면, 가열 부재(300)는 할로겐(Halogen) 램프로 제공될 수 있다. 램프는 복수개로 제공될 수 있다.
냉각 부재(400)는 수용 공간(210)에 수용된 웨이퍼(W)를 냉각한다. 예를 들면, 냉각 부재(400)는 가열 부재(300)에 의해 열처리 된 기판을 로드락 챔버(22) 외부로 반출하기에 적절한 설정된 온도까지 냉각 시킨다. 일 실시 예에 의하면, 냉각 부재(400)는 플레이트(410) 및 냉각 유로(420)를 포함한다.
도 4는 도 3의 플레이트(410)를 개략적으로 나타낸 사시도이다. 도 5는 도 3의 승강 부재(500)의 일부 및 플레이트(410)의 일부를 나타낸 단면도이다. 도 3 내지 도 5를 참고하면, 플레이트(410)에는 웨이퍼(W)가 놓인다. 냉각 유로(420)는 플레이트(410)의 내부에 제공된다. 냉각 유로(420)에는 냉각수 등의 냉각 유체가 흐름으로써 플레이트(410)의 온도를 낮춘다. 따라서, 플레이트(410)에 놓인 웨이퍼(W)는 일정 온도까지 냉각될 수 있다. 플레이트(410)에는 홈(411)이 형성된다. 홈(411)은 받침편(510)이 상하 방향으로 이동할 수 있도록 플레이트(410)의 외측면에서 내측으로 만입되고, 플레이트(410)의 상단으로부터 아래 방향으로 연장되게 형성된다. 홈(411)은 플레이트(410)의 둘레를 따라 복수 개 형성될 수 있다. 예를 들면, 홈(411)은 플레이트(410)의 일 측에 2개 형성되고, 이와 대칭되는 플레이트(410)의 타 측에 2개 형성될 수 있다.
가열 부재(300) 및 냉각 부재(400)는 수용 공간(210) 내에서 상하로 서로 마주보도록 제공된다. 가열 부재(300)는 냉각 부재(400)보다 상부에 제공된다.
승강 부재(500)는 가열 부재(300) 및 냉각 부재(400)의 사이에서 웨이퍼(W)를 상하 방향으로 이동시킨다. 일 실시 예에 의하면, 승강 부재(500)는 받침편(510), 지지축(520) 및 구동기(530)를 포함한다.
받침편(510)은 웨이퍼(W)를 지지하고 홈(411)의 내부를 지나도록 상하 방향으로 이동되게 제공된다. 받침편(510)은 복수개로 제공된다. 예를 들면, 받침편(510)은 홈(411)과 동일한 수로 제공될 수 있다.
지지축(520)은 받침편(510)과 구동기(530)를 연결하고 구동기(530)의 구동력을 받침편(510)으로 전달한다.
구동기(530)는 받침편(510)을 상하 방향으로 이동시키는 구동력을 발생시킨다.
배기 라인(600)은 열처리 등으로 인해 발생된 퓸(FUME) 등이 포함된 수용 공간(210)의 가스를 외부로 배기한다. 배기 라인(600)은 하우징(200)의 상면에 연결된다. 따라서, 배기 라인(600)이 가열 부재(300)에 의해 웨이퍼(W)가 열처리되는 위치와 인접한 위치에 연결됨으로써, 열처리에 의해 발생된 퓸 등이 배출되기에 용이하다.
배기 펌프(700)는 배기 라인(600)에 설치된다. 배기 펌프(700)의 구동으로 수용 공간(210)의 가스는 배기 라인(600)을 통해 외부로 배기된다. 배기 펌프(700)가 수용 공간(210)의 가스를 배기함으로써, 로드락 챔버(22) 내부의 압력을 진공압 까지 감압시킬 수 있다.
공정 챔버(26)는 웨이퍼(W)에 대해 소정의 공정을 수행한다. 예컨대, 공정 챔버(26)는 웨이퍼(W)에 처리 가스를 공급하여 웨이퍼(W)에 반응 부산물을 생성시키는 건식 세정, 에치백(ETCHBACK) 또는 포토 레지스트(PR: PHOTO RESIST) 제거 등과 같은 공정을 수행하는 챔버일 수 있다. 공정 챔버(26)는 로드락 챔버(22)의 측부에 하나 또는 복수 개가 제공된다. 공정 챔버(26)가 복수 개 제공되는 경우, 각각의 공정 챔버(26)는 웨이퍼(W)에 대해 서로 동일한 공정을 수행할 수 있다. 선택적으로 공정 챔버(26)가 복수 개 제공되는 경우, 공정 챔버들(26)은 순차적으로 웨이퍼(W)에 대해 일련의 공정을 수행할 수 있다.
공정 챔버(26)는 하우징(72)과 지지 부재(74)를 가진다. 하우징(72)은 내부에 공정이 수행되는 공간을 제공한다. 지지 부재(74)는 하우징(72) 내에 제공되며, 공정 진행시 웨이퍼(W)를 지지한다. 지지 부재(74)는 기계적 클램핑에 의해 웨이퍼(W)를 고정하는 구조로 제공되거나, 정전력에 의해 웨이퍼(W)를 고정하는 구조로 제공될 수 있다. 하우징(72) 내에는 두 개의 지지 부재(74)들이 제공된다. 두 개의 지지 부재(74)들은 서로 측방향으로 나란하게 배치된다. 하우징(72)의 외벽 중 이송 챔버(24)와 대향되는 영역에는 웨이퍼(W)가 출입하는 출입구(76)가 형성된다. 출입구(76)는 도어(78)에 의해 개폐될 수 있다. 출입구(76)는 두 개의 웨이퍼들(W)이 동시에 출입될 수 있는 폭으로 제공된다. 선택적으로 출입구(76)는 하우징(72) 내 지지 부재(74)와 동일한 수로 제공되고, 각각의 출입구(76)는 하나의 웨이퍼(W)가 출입될 수 있는 폭으로 제공된다. 하우징(72)에 제공되는 지지 부재(74)들의 수는 더 증가될 수 있다. 이와 달리 하우징(72)에는 하나의 지지 부재(74)가 제공될 수 있다.
이송 챔버(24) 내에는 메인 로봇(30)이 장착된다. 메인 로봇(30)은 공정 챔버(26)와 로드락 챔버(22) 간에 웨이퍼(W)를 이송한다. 또한, 공정 챔버들(26)이 복수 개 제공되는 경우, 메인 로봇(30)은 공정 챔버들(26) 간에 웨이퍼(W)를 이송할 수 있다.
다음에는 설명의 편의를 위해 상술한 기판 처리 장치를 이용하여 본 발명의 일 실시 예에 따른 기판을 처리하는 방법을 설명한다. 도 6은 본 발명의 기판 처리 방법을 나타낸 순서도이다. 도 2 및 도 6을 참고하면, 본 발명의 일 실시 예에 따른 기판 처리 방법은 제 1 처리 단계 및 제 2 처리 단계를 포함한다.
제 1 처리 단계는 공정 챔버(26) 내에서 웨이퍼(W)에 처리 가스를 공급하여 웨이퍼(W)에 반응 부산물을 생성시키는 반응 부산물 생성 단계(S10)이다. 예를 들면, 처리 가스는 질소(N2), 수소(H2), 플루오르(F) 성분 또는 이를 혼합한 성분을 포함하는 가스이고, 반응 부산물은 (NHxF)ySiF를 포함하는 재질로 제공될 수 있다. 반응 부산물 생성 단계(S20)는 공정이 진행된 이후 열처리가 요구되는 건식 세정 공정, 에치백(Etchback)공정 또는 웨이퍼(W)에 도포된 포토 레지스트(PR: PhotoResist)를 제거하는 공정일 수 있다.
제 2 처리 단계는 로드락 챔버(22) 내에서 반응 부산물 생성 단계(S10)에서의 공정 처리가 완료된 웨이퍼(W)를 가열하여 반응 부산물을 승화시키는 승화 처리 단계(S20)이다.
승화 처리 단계(S20)는 웨이퍼(W)가 플레이트(410)에 안착되는 단계(S21), 기판 상승 단계(S22), 열처리 단계(S23), 기판 하강 단계(S24) 및 냉각 단계(S25)를 포함한다. 각 단계는 순차적으로 수행된다.
웨이퍼(W)가 플레이트(410)에 안착되는 단계(S21)에서는 반응 부산물 생성 단계(S10)에서 반응 부산물이 생성된 웨이퍼(W)가 메인 로봇(30)에 의해 로드락 챔버 내로 반입되고, 플레이트(410)에 안착된다.
기판 상승 단계(S22)에서는 플레이트(410)에 안착된 웨이퍼(W)가 가열 부재(300)에 의한 열처리에 적합하도록 승강 부재(500)에 의해 가열 부재(300)에 인접한 위치까지 상승된다.
열처리 단계(S23)에서는 가열 부재(300)가 웨이퍼(W)를 가열한다. 예를 들면, 반응 부산물이 생성된 웨이퍼(W)를 100℃ 이상으로 가열하여, 반응 부산물을 승화(Sublimation)시킨다.
기판 하강 단계(S24)에서는 가열 부재(300)에 인접한 위치에서 가열 부재(300)에 의해 열처리된 웨이퍼(W)가 승강 부재(500)에 의해 플레이트(410)에 안착된다.
냉각 단계(S25)에서는 냉각 부재(400)가 플레이트(410)에 안착된 웨이퍼(W)를 냉각시킨다. 따라서, 웨이퍼(W)를 외부로 반출시키기 전 가열 부재(300)에 의해 가열된 웨이퍼(W)를 반출에 적절한 온도로 조절한다.
이 후, 냉각 단계(S25)에서 냉각이 완료된 웨이퍼(W)를 상압 상태에서 웨이퍼(W)를 처리하는 습식 처리 단계를 더 포함할 수 있다. 이 경우, 냉각 단계(S25) 후 웨이퍼(W)는 로드락 챔버(22)로부터 습식 공정이 수행되는 장치로 반송된다.
상술한 바와 같이 본 발명의 실시 예에 따른 기판 처리 장치 및 기판 처리 방법은 가스를 이용한 공정 처리 후 요구되는 기판에 대한 열처리 및 열처리된 기판에 대한 냉각이 로드락 챔버 내에서 수행되므로, 공정 챔버 내에서 수행되는 공정 시간이 감소되고, 별도의 열처리 챔버가 요구되지 않으므로 기판을 처리하는 시간을 줄일 수 있어 기판의 생산성을 증대시킬 수 있다.
1: 기판 처리 장치 W: 웨이퍼
10: 설비 전방 단부 모듈 20: 처리 모듈
22: 로드락 챔버 26: 공정 챔버
200: 하우징 300: 가열 부재
400: 냉각 부재 410: 플레이트
420: 냉각 유로 500: 승강 부재
600: 배기 라인 400: 배기 펌프

Claims (28)

  1. 설비 전방 단부 모듈;과
    상기 설비 전방 단부 모듈에 인접한 처리 모듈을 포함하되,
    상기 설비 전방 단부 모듈은,
    기판이 수납되는 용기가 놓이는 로드포트와;
    상기 용기와 상기 처리 모듈 간에 기판을 반송하는 프레임 로봇이 제공된 프레임을 가지고,
    상기 처리 모듈은,
    공정 챔버와;
    상기 프레임과 인접하게 배치되며, 기판이 머무는 로드락 챔버와;
    상기 공정 챔버와 상기 로드락 챔버 간에 기판을 이송하는 메인 로봇이 제공된 이송 챔버를 포함하되,
    상기 로드락 챔버는,
    내부에 기판을 수용하는 수용 공간을 가지는 하우징;과
    상기 수용 공간에 수용된 기판을 가열하는 가열 부재;와
    상기 수용 공간에 수용된 기판을 냉각하는 냉각 부재;를 포함하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 가열 부재 및 상기 냉각 부재는 상하로 서로 마주보도록 제공되는 기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 가열 부재 및 상기 냉각 부재의 사이에서 기판을 상하 방향으로 이동시키는 승강 부재를 더 포함하는 기판 처리 장치.
  4. 제 3 항에 있어서,
    상기 가열 부재는 상기 냉각 부재 보다 상부에 제공되는 기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 냉각 부재는,
    기판이 놓이는 플레이트; 및
    상기 플레이트의 내부에 제공된 냉각 유로를 포함하는 기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 플레이트에는 외측면에서 내측으로 만입되고, 상단으로부터 아래 방향으로 연장된 홈이 형성되되,
    상기 승강 부재는 기판을 지지하고 상기 홈의 내부를 지나도록 상하 방향으로 이동하는 받침편을 포함하는 기판 처리 장치.
  7. 제 6 항에 있어서,
    상기 홈은 상기 플레이트의 둘레를 따라 복수개가 형성되는 기판 처리 장치.
  8. 제 1 항 내지 제 7 항 중 어느 하나에 있어서,
    상기 로드락 챔버는,
    상기 수용 공간의 가스를 외부로 배기하는 배기 라인; 및
    상기 배기 라인에 설치된 배기 펌프;를 포함하는 기판 처리 장치.
  9. 제 8 항에 있어서,
    상기 배기 라인은 상기 하우징의 상면에 연결되는 기판 처리 장치.
  10. 제 1 항 내지 제 7 항 중 어느 하나에 있어서,
    상기 가열 부재는 램프를 포함하는 기판 처리 장치.
  11. 제 10 항에 있어서,
    상기 램프는 복수개로 제공되는 기판 처리 장치.
  12. 제 6 항의 기판 처리 장치를 이용하여 기판을 처리하는 방법에 있어서,
    상기 공정 챔버 내에서 기판에 처리 가스를 공급하여 기판을 처리하는 제 1 처리 단계; 및
    상기 로드락 챔버 내에서 상기 제 1 처리가 완료된 기판을 처리하는 제 2 처리 단계를 포함하는 기판 처리 방법.
  13. 제 12 항에 있어서,
    상기 제 1 처리 단계는 기판에 처리 가스를 공급하여 상기 기판에 반응 부산물을 생성시키는 공정인 기판 처리 방법.
  14. 제 12 항에 있어서,
    상기 제 2 처리 단계는 상기 가열 부재가 기판을 가열하는 열처리 단계를 포함하는 기판 처리 방법.
  15. 제 14 항에 있어서,
    상기 제 2 처리 단계는 상기 냉각 부재가 상기 열처리가 완료된 기판을 냉각하는 냉각 단계를 더 포함하는 기판 처리 방법.
  16. 제 13 항에 있어서,
    상기 제 1 처리 단계는 건식 세정 공정을 포함하는 기판 처리 방법.
  17. 제 13 항에 있어서,
    상기 제 1 처리 단계는 에치백(Etchback) 공정을 포함하는 기판 처리 방법.
  18. 제 13 항에 있어서,
    상기 제 1 처리 단계는 포토 레지스트(PR: PhotoResist)를 제거하는 공정을 포함하는 기판 처리 방법.
  19. 제 15 항에 있어서,
    상기 승화 처리 단계는 상기 열처리 단계 이전에,
    기판이 상기 메인 로봇에 의해 상기 플레이트에 안착되는 단계; 및
    상기 플레이트에 안착된 기판이 상기 승강 부재에 의해 상기 가열 부재에 인접한 위치까지 상승되는 단계;를 더 포함하는 기판 처리 방법.
  20. 제 19 항에 있어서,
    상기 냉각 단계 이전에,
    상기 가열 부재에 인접한 위치에 위치된 기판이 상기 승강 부재에 의해 상기 플레이트에 안착되도록 하강되는 단계;를 더 포함하는 기판 처리 방법.
  21. 내부 압력을 상압과 진공압 간에 조절할 수 있는 로드락 챔버 및 기판에 대해 공정 처리가 수행되는 공정 챔버를 포함하는 기판 처리 장치를 이용하여 기판을 처리하되,
    상기 공정 챔버 내에서 기판에 처리 가스를 공급하여 기판에 반응 부산물을 생성시키는 반응 부산물 생성 단계; 및
    상기 로드락 챔버 내에서 기판을 가열하여 상기 반응 부산물을 승화시키는 승화 처리 단계를 포함하는 기판 처리 방법.
  22. 제 21 항에 있어서,
    상기 승화 처리 단계는 상기 로드락 챔버 내에서 상기 기판을 냉각시키는 냉각 단계를 더 포함하는 기판 처리 방법.
  23. 제 21 항에 있어서,
    상기 반응 부산물 생성 단계는 건식 세정 공정을 포함하는 기판 처리 방법.
  24. 제 21 항에 있어서,
    상기 반응 부산물 생성 단계는 에치백(Etchback) 공정을 포함하는 기판 처리 방법.
  25. 제 21 항에 있어서,
    상기 처리 가스는 질소, 수소 또는 플루오르 성분을 포함하는 기판 처리 방법.
  26. 제 25 항에 있어서,
    상기 반응 부산물은 (NHxF)ySiF를 포함하는 기판 처리 방법.
  27. 제 25 항에 있어서,
    상기 열처리는 기판을 100℃이상으로 가열하는 것을 포함하는 기판 처리 방법.
  28. 제 22 항에 있어서,
    상기 냉각이 완료된 기판을 상압 상태에서 처리하는 습식 처리 단계를 더 포함하는 기판 처리 방법.
KR1020150076187A 2015-05-29 2015-05-29 기판 처리 장치 및 방법 KR20160141244A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020150076187A KR20160141244A (ko) 2015-05-29 2015-05-29 기판 처리 장치 및 방법
TW105115728A TWI599005B (zh) 2015-05-29 2016-05-20 Substrate processing apparatus and substrate processing method
JP2016105631A JP2016225625A (ja) 2015-05-29 2016-05-26 基板処理装置及び方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150076187A KR20160141244A (ko) 2015-05-29 2015-05-29 기판 처리 장치 및 방법

Publications (1)

Publication Number Publication Date
KR20160141244A true KR20160141244A (ko) 2016-12-08

Family

ID=57577142

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150076187A KR20160141244A (ko) 2015-05-29 2015-05-29 기판 처리 장치 및 방법

Country Status (3)

Country Link
JP (1) JP2016225625A (ko)
KR (1) KR20160141244A (ko)
TW (1) TWI599005B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102541982B1 (ko) * 2022-04-14 2023-06-13 주성엔지니어링(주) 기판처리시스템 및 기판처리방법
WO2024071693A1 (ko) * 2022-09-27 2024-04-04 프리시스 주식회사 기판 승하강모듈, 이를 포함하는 기판처리모듈 및 기판처리시스템

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7109211B2 (ja) 2018-03-06 2022-07-29 株式会社Screenホールディングス 基板処理装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208589A (ja) * 1998-11-09 2000-07-28 Tokyo Electron Ltd 処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
CN106847737B (zh) * 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102541982B1 (ko) * 2022-04-14 2023-06-13 주성엔지니어링(주) 기판처리시스템 및 기판처리방법
WO2024071693A1 (ko) * 2022-09-27 2024-04-04 프리시스 주식회사 기판 승하강모듈, 이를 포함하는 기판처리모듈 및 기판처리시스템

Also Published As

Publication number Publication date
TWI599005B (zh) 2017-09-11
TW201703209A (zh) 2017-01-16
JP2016225625A (ja) 2016-12-28

Similar Documents

Publication Publication Date Title
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
JP4048387B2 (ja) ロードロック機構及び処理装置
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
US20170092518A1 (en) Substrate processing apparatus
TWI462185B (zh) 基板處理裝置,基板支持具及半導體裝置之製造方法
US11054184B2 (en) Methods and apparatus for processing a substrate to remove moisture and/or residue
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
KR20180070755A (ko) 기판의 연속 처리 장치 및 방법
KR20160141244A (ko) 기판 처리 장치 및 방법
KR101736845B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101654627B1 (ko) 기판 처리 장치 및 방법
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
KR20150013628A (ko) 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
KR20080011903A (ko) 반도체 기판의 이송 장치, 기판 처리 장치 및 이를 이용한기판의 냉각 방법
KR20200112703A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법, 그리고 기판 처리 프로그램
KR102444876B1 (ko) 기판 처리 장치
KR100803562B1 (ko) 기판 처리 장치
KR102386210B1 (ko) 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
JP4450704B2 (ja) 基板処理装置、半導体装置の製造方法、基板処理装置の輸送方法およびボートエレベータの設置方法
JP2007088337A (ja) 基板処理装置
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR101935957B1 (ko) 기판처리장치 및 방법
KR100749546B1 (ko) 반도체 기판의 이송 장치, 기판 처리 장치, 그리고 이를이용한 기판의 온도 제어 방법
KR101623011B1 (ko) 열처리 장치 및 열처리 방법
KR102387934B1 (ko) 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment