CN113728422A - 真空处理装置 - Google Patents

真空处理装置 Download PDF

Info

Publication number
CN113728422A
CN113728422A CN202080005017.XA CN202080005017A CN113728422A CN 113728422 A CN113728422 A CN 113728422A CN 202080005017 A CN202080005017 A CN 202080005017A CN 113728422 A CN113728422 A CN 113728422A
Authority
CN
China
Prior art keywords
wafer
vacuum
chamber
cooling plate
pusher
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202080005017.XA
Other languages
English (en)
Other versions
CN113728422B (zh
Inventor
于盛楠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Publication of CN113728422A publication Critical patent/CN113728422A/zh
Application granted granted Critical
Publication of CN113728422B publication Critical patent/CN113728422B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

本发明提供一种使处理效率提高的真空处理装置。所述真空处理装置具备:推杆臂,其配置于所述锁定室内,并在与所述真空搬运机器人之间接受所述晶片并将所述晶片支承在梁部上或者传递在所述梁部上支承的所述晶片;以及冷却板,其配置在所述锁定室内的底部,并对从移动到下方的所述推杆臂的梁部传递而载置于多个支承销的前端上的所述晶片进行冷却,所述推杆臂具有驱动部和四个推杆销,四个所述推杆销在沿水平方向延伸的梁部上载置的所述晶片的中心的周围的四个部位由前端支承该晶片,所述驱动部与所述根部连结并使所述梁部在上下方向上移动,所述冷却板在所述冷却板的中央部具有收纳移动到下方的所述推杆臂的梁部的凹陷部,所述支承销位于比收纳于所述凹陷部的所述推杆臂的所述推杆销距载置于所述冷却板上的所述晶片的中心靠外周侧的位置。

Description

真空处理装置
技术领域
本发明涉及一种具备在真空容器内部的处理室内对半导体晶片等被处理基板进行处理的真空处理单元和与该真空处理单元连结并在其内部对被处理基板进行搬运的搬运容器的真空处理装置,并且涉及一种与搬运容器连结并且具备锁定室的真空处理装置,该锁定室使储存被处理基板的内部空间的压力被调节在规定的真空度的低压和与大气压大致相等的高压之间。
背景技术
在上述那样的真空处理装置中,对作为处理对象的半导体晶片等基板状的试样(以下称为晶片)进行处理的效率由以下因素影响,即构成该真空处理装置的实质上被设为大气压的部分以及被设为规定的真空度的低压的部分各自的搬运晶片的能力、被搬运了晶片的真空处理单元的处理晶片的能力、以及设定晶片被搬运的路径的算法等的晶片的搬运的控制。更具体而言,受以下因素影响:将在内侧收纳有晶片的盒与真空处理装置连接的数量、在大气压下搬运晶片的大气搬运机器人的每单位时间的搬运能力、锁定室的排气/大气开放所需的时间、在低压下搬运晶片的真空搬运机器人的每单位时间的搬运能力、晶片被搬运并在内部被处理的处理单元的数量及配置、在真空处理单元中处理晶片所需的时间。例如,当在包括真空处理单元、真空搬运容器、以及锁定室的构成真空处理装置的多个单元中的任一个单元中,该一个单元的每单位时间的晶片的搬运、使用等离子体的蚀刻、灰化等处理的片数比其他单元小得多的情况下,真空处理装置整体的处理效率被所述一个单元的动作能力的大小限制,该一个处理单元的每单位时间的处理片数为真空处理装置的每单位时间的处理片数。
于是,即使在各单元的动作、处理的效率方面差异较大而在各单元产生搬运等待时间,也能够谋求通过使等待时间不偏颇地分散来提高真空处理装置整体的生产率。作为这样的真空处理装置的现有的技术,已知有日本特开2013-207014号公报中所公开的技术。在该现有技术中,公开了如下技术:在具备多个真空搬运室、分别与这些真空搬运室连结的多个真空处理室、配置在多个真空搬运室彼此之间并将它们连通地连结的中间的收纳室、以及与多个真空搬运室中的一个连结的锁定室的真空处理装置中,使晶片在和多个真空搬运室的一方连结的锁定室与经由该一方的真空搬运室而连结的真空处理单元之间的搬运同晶片在和多个真空搬运室中的另一方连结的真空处理室与经由该另一方的真空搬运室而连结的中间的收纳室之间的搬运并行地进行。
在该现有技术中,公开了与在这样的锁定室和一个真空处理室之间搬运晶片的动作并行地在收纳室和另一真空处理室之间搬运晶片,由此,在真空处理装置中,通过降低了晶片从锁定室向目标的真空处理室搬运并在实施处理后返回到锁定室为止的晶片的搬运期间的等待时间,由此使真空处理装置的设置部位的该装置的单位占有面积的晶片处理片数增大,从而提高生产率。
现有技术文献
专利文献
专利文献1:日本特开2013-207014号公报
发明内容
发明要解决的课题
但是,在上述现有技术中,对以下点考虑不足而存在问题。即,在该现有技术中,以使在收纳室和里侧真空处理室之间搬运的动作与在锁定室和前侧的真空搬运室之间搬运的动作并行而使在每单位时间处理的晶片的片数成为最高、即成为所谓最佳搬运的方式,进行了晶片被搬运的目标的真空处理室与进行搬运的时间等的搬运的控制,但在将在高温的条件下被实施了灰化处理等处理后的晶片直接以高温的状态搬运的情况下,在锁定室内晶片的速率被控制,没有充分考虑生产率的效率最佳的结构,损害了真空处理装置的单位设置面积的生产率。
特别是,在真空处理单元的处理室内进行的晶片表面的膜层的处理,例如在蚀刻处理中使用的气体中包含卤素气体或者含有氟的气体的情况下,在晶片的处理中形成而附着于处理室内部的表面、晶片表面的附着物或者其堆积而形成的膜中包含这些气体的粒子,一部分混入有解离状态的粒子。因此,在将处理结束后的晶片以具有包括这些粒子的附着物、膜的状态直接从真空处理单元搬出并经过真空搬运容器、锁定室而搬运至大气中的情况下,附着物、膜吸附大气等的真空处理装置外部的环境中的水蒸气而吸湿,并且生成卤化氢,从而产生使通过在处理单元内部的处理形成于晶片的表面的、应构成半导体器件的电路配线的膜结构腐蚀的问题。特别是,在晶片表面预先形成的处理对象的膜层为铝或其合金等易腐蚀的材料的情况下,会显著地引起这样的问题。
因此,以往以来,在将处理后的晶片暴露于大气之前,实施如下工序,即,将晶片加热至200~400℃,并供给与晶片的处理后的膜层的表面反应性高的粒子而引起相互作用来去除附着膜。在将这样在高温下处理后的晶片搬运至其他室内的情况下,当搬运用机器人的手臂的前端部所具备的、对晶片在表面进行真空吸附的吸附手臂与晶片接触时,因彼此的温度差而在晶片产生变形,从而无法在手臂上对晶片真空吸附。于是,在晶片的搬运中发生晶片从手臂上脱离而落下,或者晶片被夹在真空容器、真空搬运容器所具备的、对作为供晶片通过内侧的通路的闸门进行开闭的阀而损伤等故障,真空处理装置的可靠性大大降低。另外,有可能在收纳晶片的盒产生因热导致的变形,从而难以向盒内收纳晶片。
因此,这样在高温、或者低温下被处理后的晶片会在收纳于向大气侧搬出之前的部位,例如锁定室内的状态下使温度降低后,从锁定室搬出并回收至盒。但是,由于晶片的大口径化,并且晶片的热容量增大,晶片被收纳于锁定室内而滞留的时间需要增加,使处理完的晶片返回至大气侧的原来的盒为止的时间变长,从而产生了真空处理装置的运转、处理的效率降低的问题。
本发明的目的在于提供使处理的效率提高的真空处理装置。
用于解决课题的方案
上述目的通过如下真空处理装置实现,该真空处理装置具备:大气搬运室;至少一个真空搬运室,其配置于所述大气搬运室的背面侧,并且在所述真空搬运室的内部配置有搬运晶片的真空搬运机器人;真空处理室,其与所述真空搬运室连结,并且在所述真空处理室的内部处理所述晶片;锁定室,其位于所述大气搬运室的背面侧且配置于所述大气搬运室与所述真空搬运室之间,并能够在所述锁定室的内部收纳所述晶片;控制部,其对如下搬运的动作进行调节,即、将收纳于在所述大气搬运室的前面侧配置的盒内的多片所述晶片从该盒取出,利用所述真空搬运机器人依次向所述真空处理室搬运并在进行处理之后返回到所述盒;推杆臂,其配置于所述锁定室内,并在与所述真空搬运机器人之间接受所述晶片并将所述晶片支承在梁部上或者传递在所述梁部上支承的所述晶片;以及冷却板,其配置在所述锁定室内的底部,并对从移动到下方的所述推杆臂的梁部传递而载置于多个支承销的前端上的所述晶片进行冷却,所述推杆臂具有驱动部和四个推杆销,四个所述推杆销在沿水平方向延伸的梁部上载置的所述晶片的中心的周围的四个部位由前端支承该晶片,所述驱动部与所述根部连结并使所述梁部在上下方向上移动,所述冷却板在所述冷却板的中央部具有收纳移动到下方的所述推杆臂的梁部的凹陷部,所述支承销位于比收纳于所述凹陷部的所述推杆臂的所述推杆销距载置于所述冷却板上的所述晶片的中心靠外周侧的位置。
发明效果
根据本发明,能够提供使单位设置面积的生产率和处理效率提高的真空处理装置。
附图说明
图1是示意性地示出本发明的实施例的真空处理装置的结构的概要的俯视图。
图2是示意性地示出图1所示的实施例的真空处理装置的锁定室的结构的纵剖视图。
图3是示意性地示出图1所示的实施例的真空处理装置的锁定室的结构的横剖视图。
图4是示意性地示出图2所示的锁定室的结构的概要的立体图。是用于说明在图2所示的实施例的锁定室内的晶片变形方式的示意图。
图5是示意性地示出配置于图1所示的实施例的真空处理装置的锁定室及内部的收纳室的晶片的配置的纵剖视图。
具体实施方式
以下,利用附图对根据本发明的真空处理装置的实施例进行详细地说明。
实施例1
用图1对本发明的实施方式的真空处理装置100的结构进行说明。图1是示意性地示出本发明的实施例的真空处理装置的结构的概要的俯视图。
本图所示的真空处理装置100大致由大气侧组件101和真空侧组件102构成。大气侧组件101是在大气压下对作为被处理物的半导体晶片等的基板状的试样进行搬运,并进行收纳定位等的部分,真空侧组件102是在从大气压减压了的压力下对晶片等的基板状的试样进行搬运,并在预先确定的真空处理室内进行处理的组件。并且,在真空侧组件102的进行上述的搬运、处理的真空侧组件102的部位与大气侧组件101之间,配置有将它们连结而配置且在内部具有试样的状态下使压力在大气压与真空压之间上下变化的部分。
大气侧组件101包括框体106,该框体106在内侧具有大气搬运室,大气搬运室是在内部具备大气搬运机器人109的大致长方体形状的容器、内部的压力设为与真空处理装置100的周围环境即大气的压力相同或略高且供处理前及处理后的试样搬运,并且大气侧组件101具备安装于该框体106的前面侧的多个盒台107,收纳有处理用或清扫用的被处理对象即半导体晶片等基板状的试样(以下称为晶片)的盒载置于多个盒台107上。
真空侧组件102具备一个或多个锁定室105,该锁定室105配置在第一真空搬运室104及第二真空搬运室110与大气侧组件101之间,并在内部具有在大气侧与真空侧之间交换的晶片的状态下,在大气压与真空压之间交换压力。使用图2、图3在后描述。
第一真空搬运室104、第二真空搬运室110是包括各个平面形状大致为矩形的真空容器的单元,它们是具有实质上可看作相同的程度的结构上的差异的两个单元。真空搬运中间室111是内部能够减压至与其他真空搬运室或真空处理室同等的真空度的真空容器,并将真空搬运室相互连结而使内部的室连通。在其与真空搬运室之间,配置有闸门阀120,闸门阀120将连通内部的室而供晶片在内侧被搬运的通路开放、遮断而分割,通过这些闸门阀120闭塞,真空搬运中间室与真空搬运室之间被气密地密封。
另外,在真空搬运中间室111内部的室中配置有收纳部,该收纳部将多个晶片以在它们的面与面之间隔开间隙的方式载置并水平保持,并且当在第一真空搬运室104、第二真空搬运室110之间交接晶片时,具备暂时收纳的中继室的功能。即,由一方的真空搬运室内的真空搬运机器人108搬入并载置于所述收纳部的晶片由另一方的真空搬运室内的真空搬运机器人108搬出而被搬运至与该真空搬运室连结的真空处理室103或锁定室105。
在与位于第一真空搬运室104和第二真空搬运室110的相对面的一面相当的彼此的侧壁之间,配置有能够在内部收纳多个处理前或处理后的晶片的真空搬运中间室111,从而将两者连结。并且在另一面连接有真空处理室103,该真空处理室103的内部被减压,晶片在该内部被搬运,并且使用利用导入该内部的空间的处理气体形成的等离子体来处理晶片。在本实施例中,真空处理室103构成为包括真空容器,并且示出了包括为了在该真空容器内部的处理室形成等离子体而供给的电场、磁场的发生机构以及包含对真空容器内部的被减压的空间即处理室进行排气的真空泵的排气机构而构成的单元整体,在内部的处理室中,实施使用等离子体的蚀刻处理、灰化处理或者对其他半导体晶片实施的处理。另外,在各真空处理室103中,连接有根据所实施的处理向真空容器内部的处理室供给的处理气体流动的管路。
在第一真空搬运室104最多能够连接2个真空处理室103,在本实施例中连接有2个真空处理室103。另一方面,在第二真空搬运室110最多能够连接3个真空处理室103,在本实施例中仅连接有2个真空处理室103。第一真空搬运室104及第二真空搬运室110的内部被设为搬运室,在第一真空搬运室104中,第一真空搬运机器人108被配置在其内部的空间的中央部分,第一真空搬运机器人108在真空下在锁定室105与真空处理室103或真空搬运中间室111的任一个之间搬运晶片。第二真空搬运室110也与上述同样地,在内部的中央部分配置有真空搬运机器人108,并且真空搬运机器人108在真空处理室103、真空搬运中间室111的任一个之间进行晶片的搬运。
所述真空搬运机器人108将晶片载置于其手臂上,并在第一真空搬运室104中进行在配置于真空处理室103的晶片台上与锁定室105或真空搬运中间室111的任一个之间的晶片的搬入、搬出。在这些真空处理室103、锁定室105、真空搬运中间室111、第一真空搬运室104以及第二真空搬运室110之间,分别设置有利用能够气密地闭塞、开放的闸门阀120连通的通路,该通路通过闸门阀120进行开闭。
在图1的实施例的真空处理装置中,对晶片实施的处理对于所有的真空处理室103以包括处理时间在内的同等条件进行。另外,在锁定室105中的每单位时间能够搬运的晶片的片数为比真空处理室103的每单位时间能够处理的晶片的片数少,且与在各真空搬运室所具备的真空搬运机器人108的每单位时间的晶片的搬运片数相同或略少于其的值。这是因为,当将在锁定室105中处理后的晶片向大气侧组件101搬出时,需要较长时间使被实施了灰化处理等的加热的处理的晶片的温度降低至不妨碍搬运、向盒内收纳的程度,从而晶片在锁定室105内滞留的时间整体上较长。
真空搬运机器人108中的、在第一真空搬运室104内配置的(真空搬运机器人1)是将从大气侧组件101向真空侧组件102导入的未处理的晶片在锁定室105和在搬运该晶片之前实施预先设定的处理的目标的各真空处理室103之间搬运并进行交换的机械。另一方面,在第二真空搬运室110内配置的(真空搬运机器人2)是将由真空搬运机器人1从第一真空搬运室104向真空搬运中间室111搬运的晶片在该真空搬运中间室111与同第二真空搬运室110连结的任一个真空处理室103之间搬运并进行交换的机械。
在本实施例中,在任一个真空处理室103内对晶片进行的处理结束之后,从该真空处理室103将处理完的晶片向锁定室105搬运,但如前所述,在锁定室105将晶片向大气侧组件送出的时间、即使锁定室105内部在收纳有晶片的状态下从减压了的状态升压至与大气压相同或可看作相同的程度而使面对大气侧组件101的闸门阀开放并取出晶片为止的、晶片在锁定室105内的滞留时间,比将晶片搬入真空处理室103内并进行处理后取出为止的滞留时间长得多。因此,在本实施例中,产生必须将所有处理完的晶片送回锁定室105的真空搬运机器人1将处理完的晶片保持于自身的手臂直到锁定室105的真空侧组件102侧的闸门阀开放并能够进行搬入为止的等待时间。
使用图2、3、4对设置于图1所示的真空处理装置100的锁定室105的结构进行说明。图2是示意性地示出图1所示的实施例的真空处理装置的锁定室的结构的纵剖视图。图3是示意性地示出图1所示的实施例的真空处理装置的锁定室的结构的纵剖视图。在图3中以从上方观察的俯视图示出了包括一部分的横剖面的锁定室105。图4是示意性地示出图2所示的锁定室的结构的概要的立体图。
本实施例的锁定室105具备气密地划分出的两个室以上下重叠的方式配置在内部的真空容器,在上下的各个室即锁定室105-1、105-2中分别具备吹扫线203、排气线204。并且,各锁定室105-1、105-2分别在大气侧组件101的框体106及真空侧组件102的第一真空搬运室104之间具有闸门阀120。
这些闸门阀120根据来自控制部125的指令信号借助未图示的阀驱动机驱动而在上下方向上移动,配置在锁定室105的真空容器的侧壁,将各锁定室105-1、105-2内部的收纳室和框体106内部的大致为大气压的空间以及被设为规定的真空度的第一真空搬运室104之间连通,并且夹持抵接作为供晶片W被搬运的通路的闸门的开口周围的侧壁面和O型环等密封构件,使锁定室105-1、105-2的内外气密地闭塞或开放。虽未图示,对锁定室105-1的闸门的连通进行开闭的闸门阀120用的阀驱动机在闸门阀120的上方与其连结而配置,锁定室105-1的闸门用的阀驱动机与闸门阀120的下方连结而配置。
在配置于锁定室105-1、105-2的内部且收纳晶片W的空间的上部配置有载置晶片W并对其进行支承的载物台201。在收纳空间的载物台201的下方即锁定室105-1、105-2的下部配置有冷却板210,该冷却板210构成收纳空间的底面并且从上方观察的平面形状具有矩形或方形,且为在上表面配置有前端供晶片W载置的多个(在本实施例中为3个以上)支承销213的铝、银、铜或它们的合金等的金属制的板状的构件。通过上述载物台201以及具有多个支承销213的冷却板210,各锁定室105-1、105-2具有能够在收纳空间的内部与未处理或处理完成无关地将多片(在本例中为2片)晶片W以在上下方向上隔开间隙的方式重叠而保持的结构。
并且,排气阀202、旋转泵等粗抽用的真空泵205由其上所具有的排气线204以与收纳空间连通的方式与各锁定室105-1、105-2连接,排气阀202配置在锁定室105-1(或105-2)与真空泵205之间。并且,在内部被供给而流通干燥的氮气等稀有气体的吹扫线203经由配置于其上的阀206以与收纳空间连通的方式与各锁定室105-1、105-2连接。当处理前的晶片W从框体106内部的大气搬运室被搬运至锁定室105-1(或105-2)的载物台201时,粒子、水分从大气搬运室进入锁定室105-1(或105-2)并附着于晶片W、锁定室105-1(或105-2)的收纳室内部的表面而有可能产生异物,因此,为了抑制上述情况,通过吹扫线203从具有罐等的贮存部的气体源207供给干燥气体,使收纳室内的压力比大气搬运室高。
当将锁定室105-1、105-2的任一个的内部的收纳室的压力设为规定程度的真空时,首先,该任一个锁定室与大气搬运室之间的闸门阀120气密地闭塞,从而将收纳空间密闭。接着,排气阀202打开并经由排气线204将真空泵209和收纳空间连通,收纳空间开始减压。当以与收纳空间连通的方式与各锁定室105-1、105-2连接的压力计207的任一个检测到减压至规定的真空度的情况时,排气阀202闭塞而完成减压,之后,任一个锁定室的收纳室与真空搬运室104之间的闸门阀120开放。
第一真空搬运室104内的真空搬运机器人108的手臂前端部通过由闸门阀120开放的闸门内而进入任一个锁定室的收纳空间内的载物台201的下方,并且当保持于该载物台201上的晶片W被交接至向上方移动的手臂前端部的手部上表面时,该手臂收缩,由此与晶片W一起向任一个的锁定室105外部的第一真空搬运室104内部搬出后进而朝向作为目标的任一个等离子体处理单元103搬运。
另一方面,被实施了等离子体处理或灰化处理后的高温的晶片W再次被搬运而返回锁定室105-1或105-2的任一个。即,对晶片W实施了处理的任一个等离子体处理单元103的处理室和该等离子体处理单元103所连结的第一真空搬运室或第二真空搬运室110之间的任一个闸门阀120开放,并且由真空搬运机器人108从处理室搬出的晶片W不是被载置于锁定室105-1、105-2中的内部的冷却板210,而是在能够在内部收纳处理完的晶片W的任一个收纳空间中通过第一真空搬运室104内的真空搬运机器人108的动作而被载置于手臂上,并被搬入载物台201与冷却板210的支承销213之间。手臂向下方降下而从任一个锁定室内退出并将晶片W交接至冷却板210的支承销213。
然后,锁定室与第一真空搬运室104之间的闸门阀120闭塞,从而收纳空间被密封,并从吹扫线203供给干燥气体,使收纳空间的内部的压力增加至比大气压略高的规定的值。当通过压力计217检测到压力上升至该规定的值的情况时,由吹扫线203上的阀将吹扫线203闭塞,升压的工序结束。然后,大气搬运室侧的闸门阀120开放并使处理完的晶片W载置于进入了任一个锁定室的搬运用的机器人即大气搬运单元119的手臂上而搬出,并将晶片W搬运返回至载置与盒台107上的原来的盒的原来的位置。
在本实施例中,为了防止在向大气侧组件101取出而返回原来的盒的原来的位置时,收纳于锁定室105-1或105-2的任一个的处理完的晶片W的温度引起问题,在该收纳空间内对被收纳的晶片W进行冷却并使温度降低至规定的值。为了减少该冷却的工序所需的时间并使晶片W的处理的生产量更高,在各锁定室105-1、105-2的内部具备推杆臂211,该推杆臂211包括具有铝、银、铜、或它们的合金等的高热传导率的梁部。在锁定室105的具有长方体形状的容器的底面的下方配置有多个送风机214,从这些送风机214吹送真空处理装置100周围的空气,由此锁定室105的容器、甚至是以能够与容器进行热传递的方式构成(热连接)并被配置在内部的冷却板210以及推杆臂211和被保持在它们上方的晶片W的温度被冷却。并且,在冷却板210的内部配置有使用了铂的温度传感器215,显示检测到的温度的温度传感器215的信号的输出被发送至控制器125,基于信号检测冷却板210或推杆臂211或者保持于它们上方的晶片W的温度。
由真空搬运机器人108向锁定室105-1、105-2的任一个(以下简称为锁定室105)的内侧的收纳空间搬入的晶片W在被载置于冷却板210上的支承销213上之前,首先被交接至推杆臂211,然后从推杆臂211被交接至冷却板210上的支承销213。
以下,使用图2以及图3、4对推杆臂211及冷却板210的结构进行说明。
使用图3对配置在本实施例的锁定室105内部并用于冷却晶片W的推杆臂211及冷却板210的结构进行说明。图3是对图2所示的本实施例的锁定室的结构的概要进行说明的横剖视图。在该图中,锁定室105的图上右侧的端部与第一真空搬运室104的侧壁夹着未图示的闸门阀120而连结,在图上左侧的端部的左侧,虽未图示,但框体106夹着闸门阀120而连结配置。
在本实施例中,为了减少使晶片W降低到规定的温度的时间,在锁定室105-1、105-2内部配置有推杆臂211,推杆臂211由铝、银、铜、或它们的合金等具有高热传导率的材料构成。推杆臂211具有梁部,梁部的上表面配置有供晶片W载置于其上并对晶片W进行支承的3个以上的多个(在本例中为4根)推杆销212。并且,该梁部的一个端部与推杆驱动部216连接,该推杆驱动部216包括在上下方向上伸缩且下端部在上下方向上移动的致动器,推杆驱动部216配置在锁定室105的所述一个端部的上方或下方(在图3中未示出)。
本例的推杆臂211的梁部以向水平方向延伸的方式配置,其水平方向的轴在供推杆臂211配置的锁定室105-1或105-2内的收纳室内伸缩而与真空搬运机器人108及大气搬运机器人109的对应手臂的前端部相对于锁定室105-1(或105-2)进入或退出的方向交叉(在本例中,梁部的水平方向的轴向与手臂的伸缩方向垂直)。借助推杆驱动部216在收纳室的内部沿上下方向移动的推杆臂211在梁部的上方载置有晶片W的状态下,保持在当真空搬运机器人108及大气搬运机器人109的手臂进入及退出时它们与梁部及晶片W接触且不碰撞的位置,防止妨碍移动。
如图3所示,在沿水平方向延伸的梁部的前端部及根部各自的上表面的部位、从上方观察相对于该梁部的轴在水平方向的两侧配置有多对的推杆销212,以便即使晶片W处于高温也能够保持于推杆臂211的梁部的上表面。推杆销212的前端和梁部的上表面之间的距离比真空搬运机器人108及大气搬运机器人109的手臂的前端部的上下方向的厚度大。通过该结构,能够在晶片W被载置于推杆销212的前端上而被保持的状态下,在晶片W的背面与梁部上表面之间形成可供真空搬运机器人108及大气搬运机器人109的手臂的前端部进入、退出的间隙。
推杆臂211的、在图3上为上方的端部的根部与推杆驱动部216连结。推杆驱动部216被安装于构成锁定室105的具有长方体形状的真空容器的端部(图3的上端部)且其位置被固定,在沿上下方向伸缩或移动的部分的端部连结有推杆臂211的梁部,在锁定室105内部的空间内沿上下方向移动。推杆驱动部216根据来自控制部125的指令信号,在与真空搬运机器人108或大气搬运机器人109的手臂的前端部之间进行晶片W的交接时,收纳空间内的载物台201与冷却板210之间的高度位置,即进入或退出收纳空间的手臂前端部的高度在推杆销212的上端与梁部的上表面之间,且保持在不与它们接触或碰撞的位置。由此,手臂前端部构成为能够在推杆销212上的晶片W与梁部的上表面之间移动。
需要说明的是,在锁定室105的容器的与安装有推杆驱动部216的端部相反的一侧的端部的侧壁面安装有窥视窗221,从而构成为能够通过由石英或丙烯酸等具有透光性的材料构成的窗构件从外部观察锁定室105内部的收纳空间。
在本实施例中,保持晶片W的手臂前端部在向位于冷却板210的上方的推杆臂211的4根推杆销212的上方搬运了的状态下停止,进而向下方移动至晶片W被支承于这些推杆销212的前端且手臂前端部与推杆臂211的推杆销212及梁部的上表面不接触的位置,并且在晶片W被交接至推杆销212及推杆臂211之后,手臂收缩,从而其前端部能够从推杆臂211与晶片W之间离开而从收纳空间退出。或者,在将晶片W保持于推杆销212上的推杆臂211位于冷却板210上方的状态下,在真空搬运机器人108或大气搬运机器人109的手臂的前端部在收纳空间内的晶片W与推杆臂211的梁部上表面之间以不与它们接触、碰撞的方式进入并停止之后,使手臂前端部向上方移动,使晶片W的背面与该前端部接触进而被顶起而从推杆销212的前端移动至分开距离的位置,从而能够使手臂以接受晶片W并将其保持的状态收缩而从收纳空间退出。
并且,本实施例的各锁定室105-1、105-2具备构成收纳空间的底部的具有高热传导率的金属制的板状的构件即冷却板210,在冷却板210的中央部配置有凹陷部218。凹陷部218是以如下方式形成的部位,即,使从假想推杆臂211的平面形从上方向下方在冷却板210的上表面投影的区域略向外侧扩展的区域以比推杆臂211的梁部的上下方向的最大的厚度大得多的深度凹陷,以供借助推杆驱动部216的驱动向下方移动的推杆臂211的梁部插入而将其收纳。
在冷却板210的凹陷部218的周围的上表面配置有多个(在本例中为至少8根)支承销213,借助推杆驱动部216的动作推杆臂211在收纳于凹陷部218并下降至最下方的位置的状态下,推杆销212的上端的高度比支承销213低。因此,保持于推杆臂211的推杆销212上的晶片W的背面朝向凹陷部218的底部,并在推杆臂211移动的中途与支承销213接触,并且当推杆臂211降下时,远离推杆销212而被交接至多个支承销213的前端上并载置于多个支承销213的前端上。
支承销213的表面以表面粗糙度成为6.3μm以下的Ra的方式被实施了加工,以增大其与晶片W接触的面积,从而高效率地进行热传递。并且,支承销213具有使载置于其前端部的晶片W的上下表面与包围支承销213的周围的冷却板210的上表面平行地被保持的高度等尺寸。冷却板210的上表面由铝、银、铜或它们的合金等具有高热传导率的材料构成,在晶片W被载置并被保持于支承销213上的状态下,晶片W的外周部的热通过经由支承销213的导热以及与凹陷部218的两侧及周围的冷却板210之间的輻射、晶片W中央部的热通过与收纳于凹陷部218的推杆臂211之间的輻射而高效率地热传递。
在本实施例中,在任一个等离子体处理单元103中被实施处理而被加热的晶片W,在任一个锁定室105内被搬运后,被载置于密封且被供给干燥的稀有气体而使压力上升的该锁定室105内部的收纳室内的支承销213上,并被保持直到其温度达到规定的范围内的值。然后,根据来自控制部125的指令信号,通过借助推杆驱动部216驱动而向上方移动的推杆臂211将晶片W保持于推杆销212上并向冷却板210上方顶起,大气侧组件101侧的闸门阀120打开而使被设为比大气压略高的压力的锁定室105的收纳室开放,将晶片W交接于进入的大气搬运机器人109的手臂而向锁定室105的收纳室外搬出。
图4所示的锁定室105的、下侧处的箭头所示的侧壁与第一真空搬运室104连结,上侧处的箭头所示的侧壁与大气侧组件101的框体106连结。如图1所示,锁定室105的前后方向的两个端部经由闸门阀120而与第一真空搬运室104及大气侧组件101的框体106连结,但在本图中,省略了这些闸门阀120及它们各自的在上方及下方与它们连结且使各闸门阀120在上下方向上移动的驱动机。
锁定室为具有长方体或以可以看作为长方体的程度近似的形状的真空容器。该真空容器的内部被划分为上下两个室,各个室的内部具备收纳多片晶片的收纳空间。这些收纳空间各自的内部配置有使用图2、3说明了的载物台201、冷却板210、推杆臂211、以及支承销213。
并且,在锁定室105的图上左端侧的侧壁安装有窥视窗221,与上下的两个收纳空间各自的位置一致地设置有两个窗构件。并且,在锁定室105的容器的图上右侧的端部的上下配置有两个推杆驱动部216,该两个推杆驱动部216具有在容器的外部沿上下方向延伸的轴体并且与该容器连接。推杆驱动部216的上下方向的轴体沿着由配置于推杆驱动部216的长方体的外壳的内部的驱动机上下移动的轴。推杆臂211的根部与轴体的上端或下端部连接,根据通过推杆驱动部216的动作产生的轴体的上下方向上的移动,推杆臂211在上下方向上移动。
如图2所示,本实施例的锁定室105的具有长方体形状的真空容器内部被上下划分为锁定室105-1、105-2这两个室。在各锁定室105-1、105-2的内部的收纳室具备:推杆臂211,其上表面具有多个推杆销212;在收纳室的底面的冷却板210,其上表面具有支承销213。
各锁定室105-1、105-2在框体106侧及第一真空搬运室104侧的端部的侧壁面具备供晶片W通过内侧而被搬运的闸门,在图4中,示出了在第一真空搬运室104侧的侧壁面具有在上下方向上隔开间隔而配置的开口的闸门402-a、402-b。在上下方向上配置于未图示第一真空搬运室104侧的两个闸门阀120抵接上述闸门402-a、402-b的开口的周围的锁定室105-1、105-2的侧壁面,将闸门402-a、402-b的内外气密地密封。
在上方的锁定室105-1的容器的上部配置有窥视窗,使用者能够通过由石英或丙烯酸等具有透光性的构件构成的窗构件来观察内部。在图4中仅示出了供该上部的窥视窗安装的贯通孔401。在图4中通过该贯通孔401一并示出了配置于上方的锁定室105-1的收纳室底面的冷却板210和收纳于其凹陷部218内的推杆臂211、以及配置于它们的上表面的推杆销212、支承销213。
在晶片W冷却时,晶片W的温度在其面内的方向上不均匀。晶片W的温度的分布,例如中心部为高温且外周部为低温的情况下或与其相反的情况,由蚀刻处理或灰化处理的条件决定。这样在晶片W的面内的方向上温度产生较大差异时,会如图5所示那样,因晶片W的中心或外周部的热发生膨胀率的变化,从而在锁定室105-1(或105-2)中晶片W发生翘曲。
图5是示意性地示出配置于图1所示的实施例的真空处理装置的锁定室及内部的收纳室的晶片的配置的纵剖视图。
当保持于推杆臂211上的推杆销212或冷却板210上的支承销213上的晶片W产生这样的翘曲时,有可能晶片W的端部接近推杆臂211、冷却板210的表面而接触,晶片W以接触部位为支点使位置向水平方向移动而从在冷却板210的上方将晶片W顶起而支承的推杆臂211落下,从而产生晶片W的位置的偏移、晶片破裂等缺陷。因此,在本实施例中,对于从上方观察下的推杆臂211上的4个推杆销212的情况,冷却板210上表面上的支承销213在载置于其上的晶片W的中心侧至少配置3个以上,并且在位于外周侧的从上方观察下的晶片W的投影区域内的部位至少配置3个以上。
即,本实施例的推杆销212在推杆臂211的梁部的根部(图4上的右端部)和前端部(同左端部)各配置1对,且这些推杆销212配置于关于从上方观察下的推杆臂211的梁部的水平方向的轴线为线对称的位置,即在晶片W载置于这些推杆销212上而被保持的状态下,至少每对推杆销212距晶片W的中心的半径位置相等的部位。中心侧的支承销213在推杆臂211的梁部的轴线的方向上位于根部与前端部的推杆销212之间,且配置于距对应于被载置的晶片W的中心的部位的半径位置比推杆销212距对应于被载置的晶片W的中心的部位的半径位置小的部位。并且,配置于外周侧的推杆销213比根部的推杆销212更靠根部侧(图上右端侧)配置,且比前端部的推杆销212更靠前端侧(图上左端侧)配置。
需要说明的是,如上所述,支承销213的前端在推杆臂211被推杆驱动部216驱动而在最下方的位置收纳于凹陷部218的内部的状态下具有比推杆销212的前端高的位置。对于这样构成的本实施例,如图5所示,根据晶片W的翘曲方式来改变支承晶片W的支承销213的位置而保持晶片W,从而具备有效冷却的结构。例如,可以在晶片W的外周端缘比中心部高、从中心部趋向外周侧而向上方翘曲的上翘曲时,由中心侧的支承销213进行支承,相反地,在晶片W的外周端缘低且朝向外周侧向下方翘曲的下翘曲的情况下,由外周侧的支承销213对晶片W进行支承。
由此,在冷却板210和推杆臂211之间交接晶片W时,抑制了晶片W与冷却板210、推杆臂211碰撞,降低了晶片W的破裂、缺陷的情况,进而降低了落下、损伤的发生。并且,能够将晶片W的高温部与冷却板210的距离设为较近的距离,从而高效率地通过輻射传热而使晶片W的温度降低至规定的值为止的时间缩短。需要说明的是,当温度差缩小时晶片W的翘曲即消除,与冷却板210之间的间隙变为均等。
在这样的实施例中,当在控制部125中检测到处理前的晶片W被搬运至任意的等离子体处理单元103并且蚀刻处理或灰化处理结束的情况时,根据来自控制部125的指令信号,该等离子体处理单元103所连结的第一真空搬运室104或第二真空搬运室110的任一个与该等离子体处理单元103之间的闸门阀120被开放,处理完的晶片W被取出并被搬运至锁定室105。
并且,对连通能够收纳晶片W的任一个锁定室105与第一真空搬运室104之间的闸门进行开闭的闸门阀120被打开,真空搬运机器人108的手臂前端部上的晶片W进入锁定室105内部的收纳空间内并被向推杆臂211上方搬运。推杆臂211被推杆驱动部216驱动而向上方移动,晶片W从真空搬运机器人108的手臂被顶起而以与推杆臂211的梁部的上表面隔开间隙的方式载置于推杆臂211的推杆销212上,之后,真空搬运机器人108的手臂从锁定室105退出至第一真空搬运室104内部,且闸门阀120再次将锁定室105气密地闭塞从而将收纳空间密闭。
当闸门阀120关闭时,根据来自控制部125的指令信号,驱动部216使推杆臂211向下方移动,并使推杆臂211降至最下方的位置而储存于冷却板210的凹陷部218的内部。晶片W从高度方向的位置被降低的推杆销212被交接至支承销213,从而以与冷却板210之间隔开间隙的方式被支承。此时,通过送风机214向锁定室105的金属制的真空容器吹送空气,由此冷却板210与锁定室105的真空容器一起被冷却。
并且,通过吹扫线203向收纳空间内导入干燥的氮气等稀有气体而使内部的压力增大至大气压或比大气压略高的压力。通过使收纳空间的压力增大,促进了晶片W与冷却板210或推杆臂211之间的热传递,并增大了晶片W的温度降低的比例。冷却板210的温度或晶片W的温度使用以规定的时间间隔来自温度传感器215的输出而在控制部125中被检测。
当在控制部125中检测到该温度达到了规定的值的情况后,根据来自控制部125的指令信号,推杆驱动部216驱动而使推杆臂211从被收纳在凹陷部218内的位置向上方移动,并上升至能够向进入收纳空间内的大气搬运机器人109的手臂交接的高度。在该状态下,当框体106与收纳空间之间的闸门阀120被开放时,锁定室105的收纳空间的内部与框体106的内部被连通,大气搬运机器人109的手臂通过闸门而进入收纳空间内的、晶片W与推杆臂211之间的间隙并将晶片W向上方顶起而接受后,由大气搬运机器人109通过手臂收缩而将晶片W搬出至框体106内部,闸门阀120再次气密地关闭从而将锁定室105的内部密闭,并且使晶片W从锁定室105内部返回至原来的盒内的原来的位置。
利用控制部125,根据使用储存于锁定室105时的温度传感器215检测到的晶片W的温度来调节冷却晶片W的时间,使在锁定室105中的搬运的等待时间最小化。
并且,在本实施例中,在推杆臂211处于向大气搬运机器人109进行交接的位置的状态下,在控制部125中,检测温度传感器215与冷却板210连结的锁定室105-1(或105-2)的外侧壁的温度,并且基于与空气的温度的差来判定在锁定室105内的晶片W的冷却是否是真空处理装置100的晶片W的处理的瓶颈。根据该判定的结果,调节送风机214的转速。控制部125可以使送风机214的动作停止,并进行也能够单独运转的控制,以使晶片W冷却时的温度梯度为最佳的方式进行调节。
需要说明的是,本发明不限定于上述的实施例,包括各种变形例。例如,上述的实施例是为了对本发明以易于理解的方式进行说明而详细说明的实施例,并不是限定一定具备所说明的所有结构。另外,可以对于各结构的一部分进行其他结构的追加、删除、置换。
附图标记的说明
101…大气侧组件、
102…真空侧组件、
103…真空处理室、
104…第一真空搬运室、
105…锁定室、
106…框体、
107…盒台、
108…真空搬运机器人、
109…大气搬运机器人、
110…第二真空搬运室、
111…真空搬运中间室、
120…闸门阀、
201…载物台、
202…排气阀、
203…吹扫线、
204…排气线、
205…真空泵、
210…冷却板、
211…推杆臂、
212…推杆销、
213…支承销、
214…送风机、
215…温度检测器、
216…推杆驱动部。

Claims (6)

1.一种真空处理装置,具备:
大气搬运室;
至少一个真空搬运室,其配置于所述大气搬运室的背面侧,并且在所述真空搬运室的内部配置有搬运晶片的真空搬运机器人;
真空处理室,其与所述真空搬运室连结,并且在所述真空处理室的内部处理所述晶片;
锁定室,其位于所述大气搬运室的背面侧且配置于所述大气搬运室与所述真空搬运室之间,并能够在所述锁定室的内部收纳所述晶片;
控制部,其对如下搬运的动作进行调节,即、将收纳于在所述大气搬运室的前面侧配置的盒内的多片所述晶片从该盒取出,利用所述真空搬运机器人依次向所述真空处理室搬运并在进行处理之后返回到所述盒;
推杆臂,其配置于所述锁定室内,并在与所述真空搬运机器人之间接受所述晶片并将所述晶片支承在梁部上或者传递在所述梁部上支承的所述晶片;以及
冷却板,其配置在所述锁定室内的底部,并对从移动到下方的所述推杆臂的梁部传递而载置于多个支承销的前端上的所述晶片进行冷却,
所述推杆臂具有驱动部和四个推杆销,四个所述推杆销在沿水平方向延伸的梁部上载置的所述晶片的中心的周围的四个部位由前端支承该晶片,所述驱动部与所述根部连结并使所述梁部在上下方向上移动,
所述冷却板在所述冷却板的中央部具有收纳移动到下方的所述推杆臂的梁部的凹陷部,所述支承销位于比收纳于所述凹陷部的所述推杆臂的所述推杆销距载置于所述冷却板上的所述晶片的中心靠外周侧的位置。
2.根据权利要求1所述的真空处理装置,其中,
在所述推杆臂的所述梁部的前端部及根部的各个部位相对于该梁部的轴在水平方向的两侧配置有一个推杆销。
3.根据权利要求1或2所述的真空处理装置,其中,
所述推杆臂的梁部在与所述真空搬运机器人相对于所述锁定室进入或退出的方向交叉的方向上延伸配置,在该梁部保持所述晶片的状态下,所述真空搬运机器人进入或退出所述梁部与所述晶片之间。
4.根据权利要求1或2所述的真空处理装置,其中,
与所述大气搬运室及所述真空搬运室连结的多个所述锁定室在上下方向上层叠配置。
5.根据权利要求1或2所述的真空处理装置,其中,
所述真空处理装置具备配置于所述锁定室的外部且使空气沿该锁定室的外侧壁的表面在上下方向上流通的送风机,所述冷却板连接于所述锁定室的外侧壁且被由所述送风机产生的流通的空气流冷却。
6.根据权利要求1或2所述的真空处理装置,其中,
所述真空处理装置具备:
温度检测器,其与所述冷却板连接并检测该冷却板的温度;以及
控制部,其根据基于来自该温度检测器的输出而检测到的所述冷却板或晶片的温度来对所述推杆臂的动作进行调节。
CN202080005017.XA 2020-03-24 2020-03-24 真空处理装置 Active CN113728422B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/012880 WO2021192001A1 (ja) 2020-03-24 2020-03-24 真空処理装置

Publications (2)

Publication Number Publication Date
CN113728422A true CN113728422A (zh) 2021-11-30
CN113728422B CN113728422B (zh) 2024-01-09

Family

ID=77891600

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080005017.XA Active CN113728422B (zh) 2020-03-24 2020-03-24 真空处理装置

Country Status (6)

Country Link
US (1) US12014908B2 (zh)
JP (1) JP6990800B1 (zh)
KR (1) KR102515863B1 (zh)
CN (1) CN113728422B (zh)
TW (1) TWI770878B (zh)
WO (1) WO2021192001A1 (zh)

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0219826A2 (en) * 1985-10-24 1987-04-29 Texas Instruments Incorporated Vacuum processing system
JPH07216550A (ja) * 1994-02-07 1995-08-15 Kokusai Electric Co Ltd 基板冷却装置
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2006054282A (ja) * 2004-08-11 2006-02-23 Hitachi High-Technologies Corp 真空処理装置およびウェハ温度復帰方法
JP2006093543A (ja) * 2004-09-27 2006-04-06 Tokyo Electron Ltd 熱処理装置
CN1841652A (zh) * 2005-03-30 2006-10-04 东京毅力科创株式会社 负载锁定装置、处理系统及处理方法
US20070125495A1 (en) * 2005-12-06 2007-06-07 Tokyo Ohka Kogyo Co., Ltd. Attaching device and attaching apparatus for supporting plate, and attaching method for supporting plate
CN101060093A (zh) * 2006-04-19 2007-10-24 东京毅力科创株式会社 基板搬送处理装置
CN101799624A (zh) * 2009-02-06 2010-08-11 东京毅力科创株式会社 基板处理装置
CN101933122A (zh) * 2008-01-31 2010-12-29 东京毅力科创株式会社 负载锁定装置和基板冷却方法
JP2012094617A (ja) * 2010-10-26 2012-05-17 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2012138540A (ja) * 2010-12-28 2012-07-19 Hitachi High-Technologies Corp 真空処理装置
JP2013207014A (ja) * 2012-03-28 2013-10-07 Hitachi High-Technologies Corp 真空処理装置
JP2016219464A (ja) * 2015-05-14 2016-12-22 株式会社日立ハイテクノロジーズ 真空処理装置および搬送ロボット
CN107564812A (zh) * 2016-06-30 2018-01-09 株式会社斯库林集团 热处理方法及热处理装置
CN107871653A (zh) * 2016-09-28 2018-04-03 株式会社日立国际电气 基板处理装置以及半导体器件的制造方法
CN108470704A (zh) * 2017-02-23 2018-08-31 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5067218A (en) 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
JP2003045947A (ja) 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4531557B2 (ja) 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP2007186757A (ja) 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
JP2007258347A (ja) 2006-03-22 2007-10-04 Nitto Koki Kk 化合物半導体の製造方法及び化合物半導体の製造装置
KR100847888B1 (ko) 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
JP4825689B2 (ja) 2007-01-12 2011-11-30 株式会社日立ハイテクノロジーズ 真空処理装置
JP2008192840A (ja) 2007-02-05 2008-08-21 Tokyo Electron Ltd 真空処理装置及び真空処理方法並びに記憶媒体
JP2008192940A (ja) * 2007-02-07 2008-08-21 Yokogawa Electric Corp 発光装置
JP4494523B2 (ja) 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置および基板搬送方法
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
JP5295808B2 (ja) 2009-02-09 2013-09-18 東京エレクトロン株式会社 パーティクル付着防止方法及び被処理基板の搬送方法
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
TW201123340A (en) 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
TWI408766B (zh) 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
JP5586271B2 (ja) 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
WO2012002499A1 (ja) * 2010-06-30 2012-01-05 株式会社アルバック 基板処理装置及び基板冷却方法
JP2012028659A (ja) 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
JP5710194B2 (ja) 2010-09-28 2015-04-30 株式会社日立ハイテクノロジーズ 真空処理装置
JP5785712B2 (ja) 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
JP2013143513A (ja) 2012-01-12 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
JP6120621B2 (ja) 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
US9378994B2 (en) * 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP2014195008A (ja) 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置及び真空処理装置の運転方法
TWI645504B (zh) * 2017-07-17 2018-12-21 台灣積體電路製造股份有限公司 晶圓傳遞模組及傳遞晶圓的方法
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0219826A2 (en) * 1985-10-24 1987-04-29 Texas Instruments Incorporated Vacuum processing system
JPH07216550A (ja) * 1994-02-07 1995-08-15 Kokusai Electric Co Ltd 基板冷却装置
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2006054282A (ja) * 2004-08-11 2006-02-23 Hitachi High-Technologies Corp 真空処理装置およびウェハ温度復帰方法
JP2006093543A (ja) * 2004-09-27 2006-04-06 Tokyo Electron Ltd 熱処理装置
CN1841652A (zh) * 2005-03-30 2006-10-04 东京毅力科创株式会社 负载锁定装置、处理系统及处理方法
US20070125495A1 (en) * 2005-12-06 2007-06-07 Tokyo Ohka Kogyo Co., Ltd. Attaching device and attaching apparatus for supporting plate, and attaching method for supporting plate
CN101060093A (zh) * 2006-04-19 2007-10-24 东京毅力科创株式会社 基板搬送处理装置
CN101933122A (zh) * 2008-01-31 2010-12-29 东京毅力科创株式会社 负载锁定装置和基板冷却方法
CN101799624A (zh) * 2009-02-06 2010-08-11 东京毅力科创株式会社 基板处理装置
JP2012094617A (ja) * 2010-10-26 2012-05-17 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2012138540A (ja) * 2010-12-28 2012-07-19 Hitachi High-Technologies Corp 真空処理装置
JP2013207014A (ja) * 2012-03-28 2013-10-07 Hitachi High-Technologies Corp 真空処理装置
JP2016219464A (ja) * 2015-05-14 2016-12-22 株式会社日立ハイテクノロジーズ 真空処理装置および搬送ロボット
CN107564812A (zh) * 2016-06-30 2018-01-09 株式会社斯库林集团 热处理方法及热处理装置
CN107871653A (zh) * 2016-09-28 2018-04-03 株式会社日立国际电气 基板处理装置以及半导体器件的制造方法
CN108470704A (zh) * 2017-02-23 2018-08-31 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备

Also Published As

Publication number Publication date
TW202137385A (zh) 2021-10-01
JPWO2021192001A1 (zh) 2021-09-30
KR20210120975A (ko) 2021-10-07
KR102515863B1 (ko) 2023-03-31
JP6990800B1 (ja) 2022-01-14
US12014908B2 (en) 2024-06-18
CN113728422B (zh) 2024-01-09
TWI770878B (zh) 2022-07-11
WO2021192001A1 (ja) 2021-09-30
US20220115217A1 (en) 2022-04-14

Similar Documents

Publication Publication Date Title
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
JP3966594B2 (ja) 予備真空室およびそれを用いた真空処理装置
US20120170999A1 (en) Load lock device and processing system
JP4642619B2 (ja) 基板処理システム及び方法
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
WO2000028587A1 (fr) Dispositif de traitement
US20030077150A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
US20020197145A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
US20090078372A1 (en) Vacuum processing apparauts
JP2000208589A (ja) 処理装置
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JPH10107124A (ja) 基板処理装置
US11211269B2 (en) Multi-object capable loadlock system
CN113728422B (zh) 真空处理装置
JP2009064726A (ja) 基板検査装置及び基板検査方法並びに記憶媒体
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP3666636B2 (ja) 基板の処理装置
JP2004119627A (ja) 半導体製造装置
JP4359109B2 (ja) 基板処理装置および基板処理方法
JP7402658B2 (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
JP2000323549A (ja) 真空処理装置
US20220199435A1 (en) Substrate processing system and particle removal method
JP2001338890A (ja) 基板処理装置
JP2004023032A (ja) 半導体製造装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant