JP2012028659A - 真空処理装置 - Google Patents

真空処理装置 Download PDF

Info

Publication number
JP2012028659A
JP2012028659A JP2010167689A JP2010167689A JP2012028659A JP 2012028659 A JP2012028659 A JP 2012028659A JP 2010167689 A JP2010167689 A JP 2010167689A JP 2010167689 A JP2010167689 A JP 2010167689A JP 2012028659 A JP2012028659 A JP 2012028659A
Authority
JP
Japan
Prior art keywords
vacuum
chamber
wafer
transfer
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010167689A
Other languages
English (en)
Other versions
JP2012028659A5 (ja
Inventor
Ryoichi Isomura
僚一 磯村
Tsutomu Tauchi
勤 田内
Hideaki Kondo
英明 近藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2010167689A priority Critical patent/JP2012028659A/ja
Priority to TW099127567A priority patent/TWI447838B/zh
Priority to KR1020100080456A priority patent/KR20120010945A/ko
Priority to CN2010102606997A priority patent/CN102347256A/zh
Priority to US12/805,837 priority patent/US20120027542A1/en
Publication of JP2012028659A publication Critical patent/JP2012028659A/ja
Publication of JP2012028659A5 publication Critical patent/JP2012028659A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】設置面積あたりの生産性が高い半導体製造装置を提供する。
【解決手段】大気搬送容器の背面側に接続されたロック室の後方側で連結され内部に前記ウエハを搬送する第一のロボットを有する第一の搬送容器と、この第一の搬送室の後方側に配置されて該第一の搬送室と連結され内部に前記ウエハを搬送する第二のロボットを有する第二の搬送容器と、前記第一の搬送容器と第二の搬送容器との間を連結して内部に前記ウエハが前記第一及び第二のロボットとの間で受け渡される収納部を備えた中継容器と、第二の搬送容器の周囲の前記中継容器と略直角の側に連結され内部の処理室で前記ウエハが処理される処理容器とを備え、前記第一のロボットは、各々が独立して回転軸を挟んだ両側の方向に伸縮する二つのアームを有し、前記第二のロボットは、各々が回転軸周りの同一の方向に伸縮する二つのアームを有した真空処理装置。
【選択図】 図1

Description

本発明は、半導体ウエハ等の基板状の試料を真空容器の内部の処理室内に搬送して配置し処理室内に形成したプラズマを用いて処理する真空処理装置に係り、複数の真空容器が連結され真空にされた内部を試料が搬送される搬送容器内に複数のアームを有する搬送手段を備えた真空処理装置に関する。
上記のような装置、特に、減圧された装置内において処理対象を処理する装置においては、処理の微細化,精密化とともに、処理対象である基板の処理の効率の向上が求められてきた。このために、近年では、一つの装置に複数の処理室が接続されて備えられたマルチチャンバ装置が開発され、クリーンルームの設置面積あたりの生産性の効率を向上させることが行われてきた。
このような複数の処理室あるいはチャンバを備えて処理を行う装置では、それぞれの処理室あるいはチャンバが、内部のガスやその圧力が減圧可能に調節され基板を搬送するためのロボットアーム等が備えられた搬送室(搬送チャンバ)に接続されている。このような従来の技術の例としては、特表2007−511104号公報(特許文献1)に記載のものが知られている。
このような従来の技術の構成では、真空処理装置全体の大きさは真空搬送室及び真空処理室の大きさおよび配置により決定される。真空搬送室は隣り合う搬送室または処理室の接続数、内部の搬送ロボットの旋回半径、ウエハサイズにより決定される。また、真空処理室はウエハサイズ,排気効率,ウエハ処理のために必要な機器類の配置により決定される。更に真空搬送室及び真空処理室の配置は、生産に必要な処理室の数およびメンテナンス性から決定される。
特表2007−511104号公報
上記従来技術では、次のような点について十分な考慮が足らなかった。
すなわち、真空処理装置を構成するユニットの配置が、処理対象のウエハを処理する処理室および真空搬送のための真空搬送室が生産性の効率が最適になる配置がされておらず、設置面積あたりの生産量が最適化されていなかった。
このように従来技術では、真空処理装置の設置面積あたりのウエハの処理能力が損なわれていた。
本発明の目的は、設置面積あたりの生産性が高い半導体製造装置を提供することにある。
上記の目的は、内部に処理対象のウエハが収納されるカセットが載せられるカセット台が前面側に配置され内部を前記ウエハが体気圧下で搬送される大気搬送容器と、この大気搬送容器の背面側でこれに接続されて並列に配置されて前記ウエハを収納可能な内部の圧力を大気圧と減圧された圧力との間で調節可能な少なくとも1つのロック室と、前記ロック室の後方側でこれと連結され所定の真空度に減圧された内部に前記ウエハを搬送する第一のロボットを有する第一の搬送容器と、この第一の搬送室の後方側に配置されて該第一の搬送室と連結され前記真空度に減圧された内部に前記ウエハを搬送する第二のロボットを有する第二の搬送容器と、前記第一の搬送容器と第二の搬送容器との間の前記第一の搬送容器を挟んで前記ロック室の反対の側でこれらを連結して配置されて気密に封止された内部に前記ウエハが前記第一及び第二のロボットとの間で受け渡される収納部を備えた中継容器と、第二の搬送容器の周囲の前記中継容器と略直角の側に連結され内部の処理室で前記ウエハが処理される処理容器とを備え、前記第一のロボットは、各々が根元部が前記第一の搬送容器内に配置された軸周りに回転可能に配置され先端部にウエハ保持部を備えて前記軸を挟んだ両側の方向に伸縮して前記ウエハ保持部を移動させる二つのアームを有し、前記第二のロボットは、各々が根元部が前記第一の搬送容器内に配置された軸周りに回転可能に配置され先端部にウエハ保持部を備えて前記軸周りの同一の方向に伸縮して前記ウエハ保持部を移動させる二つのアームを有した真空処理装置により達成される。
さらには、前記第一または第二のロボットの前記二つのアームの前記ウエハ保持部が上下方向に位置を異ならせて配置されたことにより達成される。
さらにまた、前記第一のロボットが二つのウエハ保持部上の各々にウエハを保持して前記中継室及び前記ロック室に対して並行してウエハを搬入または搬出することにより達成される。
さらにまた、前記何れか一方のアームのウエハ保持部に処理前のウエハを保持した前記第二のロボットが他方のアームを伸縮させてそのウエハ保持部に前記処理室内の処理済のウエハを受け取った後前記一方のアームを伸縮して前記未処理のウエハを前記処理室内に受け渡して前記処理前及び処理済のウエハを入れ換えることにより達成される。
さらにまた、前記処理容器と前記第二の搬送容器との間及び前記第一,第二の搬送容器の間に配置されこれらの間を連通する通路を開放または気密に閉塞する複数のバルブを備え、これらのバルブが前記処理容器内部を排他的に開放するように動作が調節されることにより達成される。
本発明の実施例に係る真空処理装置の全体の構成の概略を示す上面図である。 図1に示す実施例に係る真空処理装置の第一の真空搬送室とその周囲の構成を拡大して示す模式図である。 図1に示す実施例に係る真空処理装置の第二の真空搬送室とその周囲の構成を拡大して示す模式図である。
以下、本発明による真空処理装置の実施形態を図面により詳細に説明する。
以下、本発明の実施例を図1乃至図3を用いて説明する。図1は、本発明の実施例に係る真空処理装置の全体の構成の概略を示す上面図である。
図1に示す本発明の実施形態による真空処理室を含む真空処理装置は、大きく分けて、大気側ブロック101と真空側ブロック102とにより構成される。大気側ブロック101は、大気圧下で被処理物である半導体ウエハ等を搬送、収納位置決め等を行う部分であり、真空側ブロック102は、大気圧から減圧された圧力下でウエハ等の基板状の試料を搬送し、予め定められた真空処理室内において処理を行うブロックである。
そして、真空側ブロック102の前述した搬送や処理を行う真空側ブロック102の箇所と大気側ブロック101との間に、試料を内部に有した状態で圧力を大気圧と真空圧との間で上下させる部分を備えている。本実施例では、真空ブロック102の搬送時間が大気側ブロック101と比較して長い状態で、各部位での搬送時間のネック部分を解消するためのものを示している。
大気側ブロック101は、内部に大気側搬送ロボット110を備えた略直方体形状の筐体106を有し、この筐体106の前面側に取付けられていて、処理用またはクリーニング用の被処理物としての試料が収納されているカセットがその上に載せられる複数のカセット台107が備えられている。
真空側ブロック102は、真空容器の内部で減圧された内側を試料が搬送される空間である第一の真空搬送室104と大気側ブロック101との間に配置され、試料を大気側と真空側との間でやりとりする試料を内部に有した状態で圧力を大気圧と真空圧との間でやりとりをするロック室105をひとつ又は複数備えている。第一の真空搬送室104は上方から見た平面形が矩形状となる直方体またはこれと見なせる程度の端部の形状を備え、矩形の各辺に相当する側壁の複数に試料を処理する真空処理室103を内部に備えた真空処理容器が着脱可能に連結されている。
本実施例では、第一の真空搬送室104を構成する真空搬送容器の一つの側壁に1つの真空処理容器が接続されている。また他の一辺に第二の真空搬送室111との間に配置されこれらの内部を搬送される試料が一時的に収納されて保持され一方から他方にやりとりされる真空搬送中間室112を備えている。真空搬送中間室112も真空容器内に配置されて内部が第一,第二の真空搬送室104,111と同等の真空度の圧力に調節される。
さらに、真空搬送中間室112の一方側端部には第一の真空搬送室104が連結されて内部同士が連通可能に通路により接続される。この通路と対向する他方側に第二の真空搬送室111が内部同士が連通可能に連結されている。第二の真空搬送室111を内側に含む真空容器も、第一の真空搬送室104の場合と同様、平面形状が矩形状となる直方形状であり、真空搬送中間室112が連結された側壁以外の3つの側壁各々に真空処理室103を内包する真空処理容器を着脱可能に連結することができる構成となっている。本実施例では、2つの辺に相当する側壁に2つが接続されている。
このように、第一の真空搬送室104に連結される真空処理室103の数は、第二の真空搬送室111に接続される真空処理室103の数よりも少なくされている。この真空側ブロック102は、全体が減圧されて高い真空度の圧力に維持可能な複数の真空容器で構成されたブロックである。
第一の真空搬送室104および第二の真空搬送室111は、その内部が搬送室と連結され連通されている。第一の真空搬送室104には、減圧された真空下でロック室105と真空処理室103及び第二の真空搬送中間室112の間で試料を搬送する独立型真空搬送ロボット108が備えられている。一方、第二の真空搬送室111には、真空処理室103と真空搬送中間室112との間で試料を搬送する連結型真空搬送ロボット109がその中央に配置されている。
これら独立型真空搬送ロボット108及び連結型真空搬送ロボット109は、そのアーム上に試料を載せた状態で、第一の真空搬送室104では真空処理室103に配置された試料台上とロック室105または真空搬送中間室112の何れかとの間で試料の搬入,搬出を行う。同様に、第二の真空搬送室111では真空処理室103に配置された試料台上と真空搬送中間室112との間で試料の搬入,搬出を行う。これら真空処理室103,ロック室105および真空搬送中間室112と第一の真空搬送室104,第二の真空搬送室111の搬送室との間には、それぞれ気密に閉塞,開放可能なバルブ120により連通する通路が設けられており、この通路は、バルブ120により開閉される。
次に、前述したように構成される真空処理装置により、試料に対する処理を行う際の試料の搬送過程の概要を説明する。カセット台107の何れか上に載せられたカセット内に収納された複数の半導体ウエハ等の基板状の試料に対して、何らかの通信手段により前記真空処理装置の所定の部位と接続されこれらの動作を調節する図示しない制御装置からの指令を受けて、または、真空処理装置100が設置される製造ラインの制御装置等からの指令を受けて、処理が開始される。制御装置からの指令を受けた大気側搬送ロボット110は、カセット内の予め指令により指定された試料をカセットから取出して筐体106内の搬送用の空間である大気搬送室内を搬送されてロック室105内に搬入されて受け渡される。
試料が搬送されて格納されたロック室105は、搬送された試料を収納した状態でバルブ120が閉塞されて密封され所定の圧力まで減圧される。その後、第一の真空搬送室104に面した側のバルブ120が開放されてロック室105と第一の真空搬送室104の搬送室とが連通され、独立型真空搬送ロボット108は、そのアームをロック室105内に伸張させて、ロック室105内の試料を第一の真空搬送室104側に搬送する。独立型真空搬送ロボット108は、そのアームに載せた試料を、カセットから取出した際に予め定められた真空処理室103又は真空搬送中間室112の何れかに搬入する。
本実施例では、第一,第二の真空搬送室104,111とこれらと連結された室との間の連通を開放,閉塞する複数のバルブ120は排他的に開閉される。すなわち、真空搬送中間室112に搬送された試料は第一の真空搬送室104との間を開閉するバルブ120が閉じられて真空搬送中間室112が封止される。その後、真空搬送中間室112と第二の真空搬送室111との間を開閉するバルブ120を開けて、第二の真空搬送室に備えられた連結型真空搬送ロボット109を伸張させて、第二の真空搬送室内111に試料を搬送する。連結型真空搬送ロボット109は、そのアームに載せた試料を、カセットから取出した際に予め定められた何れかの真空処理室103に搬送する。
試料が何れかの真空処理室103に搬送された後、この処理室と第一の真空搬送室104との間を開閉するバルブ120が閉じられて処理室が封止される。その後、処理室内に処理用のガスが導入されて処理室内に真空が形成されて試料が処理される。
試料の処理が終了したことが検出されると、前述した処理室と接続された第一の真空搬送室104または第二の真空搬送室111の搬送室との間を開閉するバルブ120が開放され、独立型真空搬送ロボット108または連結型真空搬送ロボット109は、処理済みの試料を、該試料が処理室内に搬入された場合と逆にロック室105へ向けて搬出する。ロック室105に試料が搬送されると、このロック室105と第一の真空搬送室104の搬送室とを連通する通路を開閉するバルブ120が閉じられて第一の真空搬送室104の搬送室が密封され、ロック室105内の圧力が大気圧まで上昇させられる。
その後、筐体106の内側との間を気密に封止して閉塞していたバルブ120が開放されてロック室105の内部と筐体106の内部とが連通される。バルブ120が開放した状態で大気側搬送ロボット110は、ロック室105から元のカセットに試料を搬送してカセット内の元の位置に戻す。
図2は、図1に示す実施例に係る真空処理装置の第一の真空搬送室とその周囲の構成を拡大して示す模式図である。図示するように、独立型真空搬送ロボット108は試料を搬送するための第一アーム201および第二アーム202を備えられている。
本実施例での独立型真空搬送ロボット108は、上下方向(図上紙面に垂直な方向)のロボット全体の旋回軸を有する平面系が円形の台座を備え、この円形の中心に配置される旋回軸周りに回転する台座に2つのアームの根元が、ロボットの旋回軸からそれぞれ所定の半径方向の距離にオフセットされた位置に接続されて連結されており、この連結は上下方向(図上紙面に垂直な方向)の軸の周りに回動可能にされたものである。さらに、各々のアームは、根元部の軸から第一アーム,第二アーム、そして試料を保持する第三アームが3つの関節で連結され、さらにアーム根元部の上下軸まわり回転方向,上下方向,水平方向の伸縮を独立に動作可能に構成されている。
さらに、独立型真空搬送ロボット108は、試料を保持する場合や試料を搬送する際に各々のアームまたは保持している試料が独立型真空搬送ロボット自身が備えられている第一の真空搬送室104の壁面や、もう一方のアームまたはもう一方のアームが保持している試料と干渉しないようにアームが複数箇所の関節の周りに回転して折り畳み可能に構成されている。
本実施例の独立型真空搬送ロボットは上記の構成を備えた搬送装置であって、第一の真空搬送室を、本実施例の独立型真空搬送ロボット108は、アームの伸縮方向に制約を有する構成とされている。各アームは台座の中心からその根元部へ向かう方向にのみ、独立してアーム各々がその関節の軸周りに回転して伸縮して試料の搬送を行うことができる構成を備えている。このことにより、第一の真空搬送室104を構成する真空容器の対向する側壁の外側に連通されて配置されたロック室105,真空搬送中間室112に対して、各アームを並行して伸縮させ試料の搬送を行うことができる。
また、独立型真空搬送ロボット108が試料を保持したまま旋回する際、第一アーム201と第二アーム202の各々を折り畳んでその先端部に配置された試料保持部をロボット全体の旋回軸に近づけ、これらアームを折り畳んだ際の投影面積が最小となるようにして、旋回軸周りに旋回を行う。このような構成により、第一の真空搬送室104の上面から見た投影面積(占有面積)と容積が低減され連通された真空処理室103やロック室105,真空搬送中間室112内の試料載置箇所と旋回軸または各アームの根元部の関節の軸との間の距離の拡大を抑えることができ、対向した箇所との間の搬送の時間を抑え処理又は稼働の効率が向上する。
以上のような構成とすることで、独立型真空搬送ロボット108の旋回時の投影面積は最小とされ、第一の真空搬送室104の投影面積も小さくでき、且つ試料の搬入・搬出を独立に制御可能になり、対向方向に位置する搬送先に並行にアクセスすることにより設置面積あたりの生産性を高めることができる。
図2(a)は、第一の真空搬送室104内部に独立型真空搬送ロボット108がその第一アーム201,第二アーム202を収縮させた状態であり試料を搬送してきた状態を示す。
一方、図2(b)は、第一アーム201が先端部の試料保持部上に試料を載せた状態で伸長し真空搬送中間室112内に搬送するとともに第二アーム202が伸長して試料を第一のロック室105内に搬送した状態を示す。このように、独立型真空搬送ロボット108は、第一アーム201,第二アーム202を並行して伸縮することで、第一の真空搬送室104を挟んでこれに対向した位置に連通された2箇所に対して搬送を並行して行うことができる。
図3は、図1に示す実施例に係る真空処理装置の第二の真空搬送室とその周囲の構成を拡大して示す模式図である。この図に示すように、第二の真空搬送室111内に配置された連結型真空搬送ロボット109は、試料を搬送するための第一アーム203および第二アーム204を備えて、これらが第二の真空搬送室111に連通した特定の室に対して伸縮可能に構成されている。
本実施例での連結型真空搬送ロボット109は、独立型真空搬送ロボット108と同様に、第二の真空搬送室111の中心部に配置されて上下方向(図上紙面に垂直な方向)の中心軸周りに旋回を行う円板形状の台座を有し、その台座上に、水平方向へ伸縮する第一アーム203と第二アーム204との根元部に共通の旋回軸となる上下方向の関節の軸が、台座の中心に配置されたこのロボット全体の旋回軸から所定の距離だけオフセットされた箇所に配置されている。この構成により、2つのアームが並行して同じ箇所に対して旋回して伸縮することができる。
さらに、各アームの先端部には試料保持部が配置されるとともに、各々のアームは根元部から3つの関節で接続された梁状の第一,第二,第三の部材を有し(先端側の部材に試料保持部が連結されている)、各々のアームは、上下方向,水平方向の伸縮を独立に動作可能となっている。さらには、試料を保持する時や試料を搬送する際に、各々のアームのうちの一方または保持している試料が、連結型真空搬送ロボット109が内部に配置された第二の真空搬送室111の壁面や、他方のアームまたはこれに保持された試料と干渉しないようにアームを折り畳むことのできる構成を備えられている。
このような連結型真空搬送ロボット109が試料を保持したまま旋回する際には、第一,第二アーム203,204はその下方への投影面積が最小となるよう、折り畳まれて試料を旋回軸に近接させた状態で、旋回軸周りに旋回を行う。本実施例の図1及び図3に示される連結型真空搬送ロボット109は、各アームは第一の部材と第二の部材とが連結される関節が旋回軸または根元部の軸に対して室の外側へ向けて広がるように折り畳まれているが、各アームの伸びる方向とは逆方向へと、第二関節が引っ込むようにアームを折り畳んでも良い。
以上のような構成とすることで、連結型真空搬送ロボット109の旋回投影面積は最小とされ、第二の真空搬送室111の投影面積も小さくし、設置面積あたりの生産性を高めることができる。
また、図3(a)は、各アームが収縮して第二の真空搬送室111内に試料を搬送してきた状態を示している。図3(b)は、第一アーム203が収縮して処理が施された試料を真空処理室103から搬出した後、第二アーム204が伸長して処理が未だ実施されていない試料を真空処理室103内に搬入した状態を示す。このように、本実施例の連結型真空搬送ロボット109は、同一の箇所に対して2つのアームを動作させて試料の搬送を行うことができ、例えば、これらを連続的に行うことで真空処理室103または真空搬送中間室112に対して処理済の試料と未処理の試料とを入れ換えることができる。
独立型真空搬送ロボット108,連結型真空搬送ロボット109を用いて、試料を搬送する際の動作を以下、詳細に説明する。本実施例では、これらのロボットは、試料を一方の箇所から他方の箇所へ移送する。この一方の搬送箇所を搬送箇所A、他方を搬送箇所Bとする。これらの箇所には、通常、試料を載せて保持する保持部が配置されている。例えば、搬送箇所Aが真空処理室103である場合には、その内部に配置されて試料が載せられて保持される試料台がこれに相当する。
搬送箇所A内には試料Aが保持されて搬送を待機しており、一方の搬送箇所Bには試料Bが同様に待機している。搬送箇所A,Bはそれぞれ試料台が1つ備えられ、試料1枚のみ搬入する場合を説明する。搬送するロボットの2つのアームはどちらも試料を保持しておらず、一方をアームA、もう一方をアームBとする。独立型真空搬送ロボット108についてアームAが搬送箇所Aにアクセスできる方向を向いた状態から動作を開始する。連結型真空搬送ロボット109はアームA及びアームBが、搬送箇所Aにアクセスできる方向を向いた状態から動作を開始する。動作ステップ数は、搬入,搬出,90°旋回を1つのステップとする。
独立型真空搬送ロボット108の構成において、2つの搬送箇所が搬送室の対向する側壁に連結されて連通されている場合、試料の搬送の動作ステップを説明する。まず、アームAは搬送箇所Aに向けてアームを伸長して試料Aを搬送箇所Aで受け取ってここから搬出する。アームAの動作開始と同時または任意の時間差の後にアームBも搬送箇所Bへ向けてアームを伸ばし、同様に受け取った試料Bを搬出する。次に、独立型真空搬送ロボット108は、各アームA,アームBを折り畳んで最も試料またはアーム先端部の試料保持部を旋回軸に最も近接させた状態で下方への投影面積を最小となる形状を保持した状態で旋回軸の周りに180°旋回する。旋回後に再度各アームA,Bを搬送箇所B,Aに向けて伸長し、試料Aを搬送箇所Bに搬入して内部の試料台に受け渡す。同様に、試料Bを搬送箇所Aへと搬送して受け渡す。以上の動作では、4つのステップから構成される。
一方、独立型真空搬送ロボット108が互いに直角に位置している2つの搬送箇所に対して搬送する場合について動作のステップの概要を説明する。この場合、まずアームAは搬送箇所Aに向けてアームを伸ばし試料Aを搬出する。試料Aを保持したアームAが折り畳まれて試料または試料保持部を旋回軸に最も接近させた位置にした後、アームBが搬送箇所Bへアクセスできる位置まで連結型真空搬送ロボット109が旋回軸周りに90°旋回する。アームBが搬送箇所Bへ向けてアームを最短の距離で伸長できる位置までロボットが旋回した後、アームBが伸ばされて搬送箇所Bに進入した後試料を受け取って試料Bを搬送箇所Bから搬出する。
試料Bを保持したアームBが折り畳まれた後、アームAが搬送箇所Bへ最短の距離で伸長できる位置まで独立型真空搬送ロボット108が旋回軸周りに180°旋回した後、アームAを伸ばし搬送箇所Bへ試料Aを搬入する。アームAが折り畳まれた後、アームBが搬送箇所Aへ最短で伸長できる位置までロボットが旋回軸周りに90°旋回する。旋回が終了すると、再度アームBを伸ばし搬送箇所Aへ試料Bを搬入する。このように、対向方向の搬送と比較して、直角方向の搬送では動作のステップ数が8つに増加している。
連結型真空搬送ロボット109において、2つの試料の搬送箇所が互いに対向方向に位置しているときの、試料の搬送の動作の概要を説明する。連結型真空搬送ロボット109に備えられた2つのアームのうち、一方のアームAは搬送箇所Aに向けてアームを伸長して試料Aを搬出する。アームAが試料Aを保持した状態で折り畳まれた後、連結型真空搬送ロボット109は搬送箇所Bへ最短で伸長できる位置まで180°旋回する。搬送箇所Bへ伸長できる位置までロボットが旋回すると、アームBが搬送箇所Bに向けて伸ばされて、試料BがアームBに受け渡された後、このアームの収縮に伴って搬送箇所Bから搬出される。
試料Bを保持したアームBが折り畳まれた後、アームAが搬送箇所Bへ向けてアームを伸ばし試料Aを搬入する。アームAが試料Aを試料台に受け渡して折り畳まれた後、連結型真空搬送ロボット109は搬送箇所Aへアームを伸縮できる位置まで180°旋回する。搬送箇所Aへアームを伸長できる位置までロボットが旋回すると、アームBを搬送箇所Aに向けて伸長して試料Aを搬送箇所A内に搬入し試料台に受け渡す。この場合の動作のステップは8つとなる。
次に、連結型真空搬送ロボット109が、互いに直角に位置している搬送箇所に搬送する場合の搬送の動作の概要を説明する。アームAは搬送箇所Aへとアームを伸ばして試料Aを受け取り搬出する。アームAが試料Aを保持して折り畳まれた後連結型真空搬送ロボット109は搬送箇所Bへアームを伸長できる位置まで90°旋回する。搬送箇所Bへ伸長できる位置までロボットが旋回すると、アームBを搬送箇所Bに向けて伸ばし試料Bを受け取り搬出する。
試料Bを保持したアームBが折り畳まれた後、アームAが搬送箇所Bへ向けてアームを伸ばして試料Aを搬入し試料台に受け渡す。アームAが折り畳まれた後、連結型真空搬送ロボット109は搬送箇所Aへアームを伸長できる位置まで90°旋回する。ロボットは搬送箇所Aへ伸長できる位置まで旋回すると、アームBを搬送箇所Aに向けて伸長して試料Aを搬入して受け渡す。この場合、動作は6つのステップで構成される。
図1に示す本実施例では、独立型真空搬送ロボット108は第一の真空搬送室104内の中央部に配置されている。図2に示す通り、独立型真空搬送ロボット108は対向する位置に配置されたロック室105と真空搬送中間室112との間で処理前,処理後の試料を搬送する。第一の真空搬送室104には一つの真空処理室103が配置されており、独立型真空搬送ロボット108は、ロック室105とこの真空処理室103との間でも処理前,処理後の試料を搬送する。本実施例の真空処理装置は、このような配置において、対向方向に1つあるいは複数の搬送経路が接続された第一の真空搬送室104にを配置された上記の独立型真空搬送ロボット108を用いて試料を搬送することにより、動作の効率を向上させて処理の効率を向上させている。
また、本実施例では、図3に示すように対向した2つの真空処理室103が連結された第二の真空搬送室111内部に連結型真空搬送ロボット109を配置している。この連結型真空搬送ロボット109は、図上下方の真空搬送中間室112と2つの真空処理室103との間で、処理前,処理後の試料を図上直角方向に搬送する。上記の通り、本実施例では、連結型真空搬送ロボット109は独立型真空搬送ロボット108と比べて直角方向の搬送に要する動作のステップが少なくでき、直角方向にのみ1つあるいは複数の搬送経路が接続された第二の真空搬送室111において、連結型真空搬送ロボット109を用いて試料を搬送することにより、動作の効率を向上させて処理の効率を向上させている。
このような、独立型真空搬送ロボット108および連結型真空搬送ロボット109を備えた本実施例の真空処理装置の動作の概要を説明する。図1において、第一の真空搬送室104は、定常状態において、未処理の試料はロック室105から予め定められた真空処理室103に向けて搬送される。また、真空処理室103で処理された試料は、ロック室105に向けて搬送される。以上のような、未処理試料の搬送元、処理済試料の搬送先であるロック室105に対し、対向方向に真空搬送中間室112が接続され、直角方向に真空処理室103が接続されている。すなわち、第一の真空搬送室104に備えられた真空搬送ロボットは、直角方向の搬送と、対向方向の搬送を行う。対向方向の搬送経路に対して独立型真空搬送ロボット108がこれを行う。
図1において、第二の真空搬送室111は、定常状態において、未処理の試料は、真空ロック室105から第二の真空搬送室111に接続された真空搬送中間室112を中継し、第二の真空搬送室111に接続された真空処理室103へと搬送される。また、第二の真空搬送室111に接続された真空処理室103からロック室105へと処理済の試料が搬送される際、真空搬送中間室112を中継し、ロック室105に向けて搬送される。以上のような、未処理試料の搬送元、処理済試料の搬送先である真空搬送中間室に対し、直角方向に2ヶ所に、真空搬送処理室103が接続されている。すなわち、第二の真空搬送室111に備えられた連結型真空搬送ロボット109は、直角方向の搬送のみを行う。
以上の通りの実施例によれば、設置面積あたりの生産性が高い半導体製造装置を提供するができる。
101 大気側ブロック
102 真空側ブロック
103 真空処理室
104 第一の真空搬送室
105 ロック室
106 筐体
107 カセット台
108 独立型真空搬送ロボット
109 連結型真空搬送ロボット
110 大気側搬送ロボット
111 第二の真空搬送室
112 真空搬送中間室
120 バルブ
201,203 第一アーム
202,204 第二アーム

Claims (5)

  1. 内部に処理対象のウエハが収納されるカセットが載せられるカセット台が前面側に配置され内部を前記ウエハが大気圧下で搬送される大気搬送容器と、この大気搬送容器の背面側でこれに接続されて並列に配置されて前記ウエハを収納可能な内部の圧力を大気圧と減圧された圧力との間で調節可能な少なくとも1つのロック室と、前記ロック室の後方側でこれと連結され所定の真空度に減圧された内部に前記ウエハを搬送する第一のロボットを有する第一の搬送容器と、
    この第一の搬送室の後方側に配置されて該第一の搬送室と連結され前記真空度に減圧された内部に前記ウエハを搬送する第二のロボットを有する第二の搬送容器と、前記第一の搬送容器と第二の搬送容器との間の前記第一の搬送容器を挟んで前記ロック室の反対の側でこれらを連結して配置されて気密に封止された内部に前記ウエハが前記第一及び第二のロボットとの間で受け渡される収納部を備えた中継容器と、第二の搬送容器の周囲の前記中継容器と略直角の側に連結され内部の処理室で前記ウエハが処理される処理容器とを備え、
    前記第一のロボットは、各々が根元部が前記第一の搬送容器内に配置された軸周りに回転可能に配置され先端部にウエハ保持部を備えて前記軸を挟んだ両側の方向に伸縮して前記ウエハ保持部を移動させる二つのアームを有し、前記第二のロボットは、各々が根元部が前記第二の搬送容器内に配置された軸周りに回転可能に配置され先端部にウエハ保持部を備えて前記軸周りの同一の方向に伸縮して前記ウエハ保持部を移動させる二つのアームを有した真空処理装置。
  2. 請求項1に記載の真空処理装置であって、
    前記第一または第二のロボットの前記二つのアームの前記ウエハ保持部が上下方向に位置を異ならせて配置された真空処理装置。
  3. 請求項1または2に記載の真空処理装置であって、
    前記第一のロボットが二つのウエハ保持部上の各々にウエハを保持して前記中継室及び前記ロック室に対して並行してウエハを搬入または搬出する真空処理装置。
  4. 請求項1乃至3の何れかに記載の真空処理装置であって、
    前記何れか一方のアームのウエハ保持部に処理前のウエハを保持した前記第二のロボットが他方のアームを伸縮させてそのウエハ保持部に前記処理室内の処理済のウエハを受け取った後前記一方のアームを伸縮して前記未処理のウエハを前記処理室内に受け渡して前記処理前及び処理済のウエハを入れ換える真空処理装置。
  5. 請求項1乃至4の何れかに記載の真空処理装置であって、
    前記処理容器と前記第二の搬送容器との間及び前記第一,第二の搬送容器の間に配置されこれらの間を連通する通路を開放または気密に閉塞する複数のバルブを備え、これらのバルブが前記処理容器内部を排他的に開放するように動作が調節される真空処理装置。
JP2010167689A 2010-07-27 2010-07-27 真空処理装置 Pending JP2012028659A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010167689A JP2012028659A (ja) 2010-07-27 2010-07-27 真空処理装置
TW099127567A TWI447838B (zh) 2010-07-27 2010-08-18 Vacuum processing device
KR1020100080456A KR20120010945A (ko) 2010-07-27 2010-08-19 진공처리장치
CN2010102606997A CN102347256A (zh) 2010-07-27 2010-08-20 真空处理装置
US12/805,837 US20120027542A1 (en) 2010-07-27 2010-08-20 Vacuum processor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010167689A JP2012028659A (ja) 2010-07-27 2010-07-27 真空処理装置

Publications (2)

Publication Number Publication Date
JP2012028659A true JP2012028659A (ja) 2012-02-09
JP2012028659A5 JP2012028659A5 (ja) 2013-09-12

Family

ID=45526905

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010167689A Pending JP2012028659A (ja) 2010-07-27 2010-07-27 真空処理装置

Country Status (5)

Country Link
US (1) US20120027542A1 (ja)
JP (1) JP2012028659A (ja)
KR (1) KR20120010945A (ja)
CN (1) CN102347256A (ja)
TW (1) TWI447838B (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
KR102392186B1 (ko) * 2011-03-11 2022-04-28 브룩스 오토메이션 인코퍼레이티드 기판 처리 툴
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
JP6120621B2 (ja) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
CN106162906B (zh) * 2015-03-31 2019-01-15 中兴通讯股份有限公司 调度信息发送、接收方法及装置
US9889567B2 (en) 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7115879B2 (ja) 2018-03-23 2022-08-09 株式会社日立ハイテク 真空処理装置の運転方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
WO2021192001A1 (ja) 2020-03-24 2021-09-30 株式会社日立ハイテク 真空処理装置
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
CN112249685B (zh) * 2020-10-27 2022-04-01 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222845A (ja) * 2001-01-24 2002-08-09 Meidensha Corp 基板搬送用ロボット
JP2007511104A (ja) * 2003-11-10 2007-04-26 ブルーシフト テクノロジーズ インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
JP2010067992A (ja) * 2003-06-02 2010-03-25 Tokyo Electron Ltd 基板処理装置及び基板搬送方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3466607B2 (ja) * 1989-09-13 2003-11-17 ソニー株式会社 スパッタリング装置
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US20040005211A1 (en) * 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US20050220582A1 (en) * 2002-09-13 2005-10-06 Tokyo Electron Limited Teaching method and processing system
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
JP4493955B2 (ja) * 2003-09-01 2010-06-30 東京エレクトロン株式会社 基板処理装置及び搬送ケース
US8029226B2 (en) * 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
KR100754245B1 (ko) * 2006-02-06 2007-09-03 삼성전자주식회사 반도체 제조용 웨이퍼 이송로봇 및 그를 구비한 반도체제조설비
JP4928562B2 (ja) * 2006-12-05 2012-05-09 芝浦メカトロニクス株式会社 ロボット装置およびこれを備えた処理装置、処理システム、処理方法
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222845A (ja) * 2001-01-24 2002-08-09 Meidensha Corp 基板搬送用ロボット
JP2010067992A (ja) * 2003-06-02 2010-03-25 Tokyo Electron Ltd 基板処理装置及び基板搬送方法
JP2007511104A (ja) * 2003-11-10 2007-04-26 ブルーシフト テクノロジーズ インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム

Also Published As

Publication number Publication date
TW201205710A (en) 2012-02-01
TWI447838B (zh) 2014-08-01
CN102347256A (zh) 2012-02-08
KR20120010945A (ko) 2012-02-06
US20120027542A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
JP2012028659A (ja) 真空処理装置
JP5872153B2 (ja) 真空処理装置及び真空処理装置の運転方法
JP5785712B2 (ja) 真空処理装置
JP5476171B2 (ja) 真空処理装置
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
JP2012009519A (ja) 真空処理装置
KR20040084650A (ko) 진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치
JP6120621B2 (ja) 真空処理装置及びその運転方法
WO2013105295A1 (ja) 真空処理装置
JPH0846013A (ja) マルチチャンバ処理システム用搬送装置
JP5923288B2 (ja) 真空処理装置及び真空処理装置の運転方法
KR20200026138A (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
JP5710194B2 (ja) 真空処理装置
JP2010010259A (ja) 真空処理装置
JP5892828B2 (ja) 真空処理装置
JPH1191943A (ja) 基板搬送システム
JP2012146721A (ja) 真空処理装置
US11721564B2 (en) Substrate processing system and substrate transfer apparatus and method
JP3121022B2 (ja) 減圧処理装置
JP2014195008A (ja) 真空処理装置及び真空処理装置の運転方法
JP2020174171A (ja) 基板処理システム、基板搬送装置及び方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130726

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130729

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140527

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140930