CN102347256A - 真空处理装置 - Google Patents

真空处理装置 Download PDF

Info

Publication number
CN102347256A
CN102347256A CN2010102606997A CN201010260699A CN102347256A CN 102347256 A CN102347256 A CN 102347256A CN 2010102606997 A CN2010102606997 A CN 2010102606997A CN 201010260699 A CN201010260699 A CN 201010260699A CN 102347256 A CN102347256 A CN 102347256A
Authority
CN
China
Prior art keywords
aforementioned
wafer
vacuum
sample
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010102606997A
Other languages
English (en)
Inventor
矶村僚一
田内勤
近藤英明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of CN102347256A publication Critical patent/CN102347256A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种真空处理装置,包括:第一输送容器,所述第一输送容器连接到连接于大气输送容器的背面侧的锁定室的后方侧,在内部具有输送晶片的第一机器人;第二输送容器,所述第二输送容器配置在该第一输送容器的后方侧,与该第一输送室连接,在内部具有输送前述晶片的第二机器人;中转容器,所述中转容器将前述第一输送容器和第二输送容器之间连接,在内部备有在前述第一及第二机器人之间交接前述晶片的容纳部;处理容器,所述处理容器连接到与第二输送容器的周围的前述中转容器大致成直角侧,在内部的处理室中,处理前述晶片;前述第一机器人,具有分别独立地、在夹持旋转轴的两侧的方向上伸缩的两个臂,前述第二机器人,具有分别在围绕旋转轴的同一个方向上伸缩的两个臂。

Description

真空处理装置
技术领域
本发明涉及一种在真空容器的内部的处理室内输送并配置半导体晶片等基板状的试样并利用形成在处理室内的等离子体进行处理的真空处理装置,并且涉及一种具有输送机构的真空处理装置,该输送机构在连接多个真空容器并在形成真空的内部输送试样的输送容器内具有多个臂。
背景技术
上述装置,特别是,在被减压的装置内部对处理对象进行处理的装置中,随着处理的微细化、精密化,要求提高作为处理对象的基板的处理效率。因此,近年来,开发出了在一个装置上连接配备多个处理室的多腔室装置,提高净化室的单位设置面积的生产效率。
在这种配备有多个处理室或者腔室并进行处理的装置中,各个处理室或者腔室连接于能够减压调节内部的气体或其压力、配备有输送基板用的机器人臂的输送室(输送腔室)。作为这种现有技术的例子,已知有特表2007-511104号公报记载的技术。
在这种现有技术的结构中,真空处理装置的总体的大小由真空输送室及真空处理室的大小及配置决定。真空输送室由相邻的输送室或处理室的连接数、内部输送机器人的旋转半径、晶片的尺寸决定。另外,真空处理室由晶片尺寸、排气效率、为了处理晶片所必需的设备的配置决定。另外,真空输送室及真空处理室的配置由生产所必需的处理室的数目及维修特性决定。
在上述现有技术中,没有充分考虑到以下各点。
即,构成真空处理装置的单元的配置,对于对作为处理对象的晶片进行处理的处理室及真空输送用的真空输送室没有进行生产效率最佳的配置,没有进行单位设置面积的生产量的最佳化。
在这种现有技术中,会损及真空处理装置的单位设置面积的晶片处理能力。
发明内容
本发明的目的是提供一种单位设置面积的生产率高的半导体制造装置。
上述目的通过下面所述的真空处理装置来实现,所述真空处理装置包括:大气输送容器,所述大气输送容器在前表面侧配置盒台,该盒台载置在内部容纳作为处理对象的晶片的盒,在所述大气输送容器的内部,在大气压下输送前述晶片;至少一个锁定室,所述锁定室在该大气输送容器的背面侧与之连接并并列地配置,能够在大气压力和被减压的压力之间调节能够容纳前述晶片的内部的压力;第一输送容器,所述第一输送容器在前述锁定室的后方侧与之连接,具有在被减压到规定的真空度的内部输送前述晶片的第一机器人;第二输送容器,所述第二输送容器配置在该第一输送室的后方侧,与该第一输送室连接,具有在被减压到前述真空度的内部输送前述晶片的第二机器人;中转容器,所述中转容器位于前述第一输送容器与第二输送容器之间,在隔着前述第一输送容器而与前述锁定室相反的一侧将它们连接起来配置,在气密性地被密封的内部,具有在前述第一及第二机器人之间交接前述晶片的收纳部;处理容器,所述处理容器连接到与第二输送容器的周围的前述中转容器大致成直角的一侧,在内部的处理室内处理前述晶片;前述第一机器人具有两个臂,所述两个臂的每一个的根部可围绕配置在前述第一输送容器内的轴旋转地配置,在前端部配置有晶片保持部,并且在夹持前述轴的两侧的方向上伸缩,使前述晶片保持部移动,所述第二机器人具有两个臂,所述两个臂的每一个的根部可围绕配置在前述第一输送容器内的轴旋转地配置,在前端部配置有晶片保持部,并且在所述轴周围的同一方向上伸缩,使前述晶片保持部移动。
另外,上述目的通过以下方式达到,即,前述第一或第二机器人的前述两个臂的前述晶片保持部,配置在上下方向上的不同的位置上。
另外,上述目的通过以下方式达到,即,前述第一机器人在两个晶片保持部上的每一个上保持晶片,相对于前述中转室及前述锁定室并行地搬入或搬出晶片。
此外,上述目的通过以下方式达到,即,在前述任何一个臂的晶片保持部保持处理前的晶片的前述第二机器人使另外一个臂伸缩,在其晶片保持部接受前述处理室内的处理完毕的晶片,之后,使前述一个臂伸缩,将前述未处理的晶片在前述处理室内交接,交换前述处理前及处理完毕的晶片。
此外,上述目的通过以下方式达到,即,配备有多个阀,所述多个阀配置在前述处理容器与前述第二输送容器之间及前述第一、第二输送容器之间,把将它们之间连通的通路开启或者气密性地闭塞,这些阀以排他性地开启前述处理容器内部的方式调节其动作。
附图说明
图1是表示本发明的实施例的真空处理装置的整体的结构的概略的俯视图。
图2A及2B是放大地表示图1所示的实施例的真空处理装置的第一真空输送室及其周围的结构的示意图。
图3A及3B是放大地表示图1所示的实施例的真空处理装置的第二真空输送室及其周围的结构的示意图。
具体实施方式
下面借助附图详细说明本发明的真空处理装置的实施方式。
【实施例】
下面,利用图1至图3B说明本发明的实施例。图1是表示本发明的实施例的真空处理装置的整体结构的概略的俯视图。
包含有图1所示的本发明的实施方式的真空处理室的真空处理装置100,大体地划分,由大气侧部件101和真空侧部件102构成。大气侧部件101是在大气压下对作为被处理物的半导体晶片等进行输送、容纳定位等的部分,真空侧部件102是在从大气压减压的压力下输送晶片等基板状的试样并在预定的真空处理室内进行处理的部件。
并且,在真空侧部件102的进行前述输送或处理的真空侧部件102的部位与大气侧部件101之间,配备有在内部具有试样的状态下使压力在大气压与真空压力之间上升下降的部分。在本实施例中,列举了在真空部件102的输送时间比大气侧部件101的输送时间长的状态下,消除在各个部位的输送时间的瓶颈的例子。
大气侧部件101具有在内部配备有大气侧输送机器人110的大致长方体形状的框体106,配备有多个盒台107,所述多个盒台107安装在该框体106的前表面侧,容纳作为处理用或清洁用的被处理物的试样的盒载置于所述多个盒台上。
真空侧部件102配备有一个或多个锁定室105,所述锁定室105配置在作为在真空容器的内部被减压的内侧输送试样的空间的第一真空输送室104与大气侧部件101之间,在内部具有在大气侧与真空侧之间进行试样交换的试样的状态下,在大气压与真空压力之间进行压力的变换。第一真空输送室104具有从上方观察时的平面形状为矩形的长方体或者一定程度上看作所述长方体的端部的形状,在多个相当于矩形的各个边的侧壁上,可拆装地连接有在内部具有处理试样的真空处理室103的真空处理容器。
在本实施例中,在构成第一真空输送室104的真空输送容器的一个侧壁上,连接有一个真空处理容器。另外,在另一边具有真空输送中间室112,所述真空输送中间室112配备在该另一边与第二真空输送室111之间,暂时容纳并保持在它们内部输送的试样,从一方向另外一方进行交换。真空输送中间室112也配置在真空容器内,将其内部调节到与第一、第二真空输送室104、111同等的真空度的压力。
另外,在真空输送中间室112的一侧端部上连接有第一真空输送室104,利用通路能够连通地将内部相互连接。在与该通路对向的另一侧,可以将内部相互连通地连接有第二真空输送室110。内侧包含有第二真空输送室111的真空容器也和第一真空输送室110的情况一样,呈平面形状为矩形的长方体状,在连接真空输送中间室112的侧壁之外的三个侧壁的每一个上,能够拆装地连接内部包括真空处理室103的真空处理容器。在本实施例中,在相当于两个边的侧壁上,连接有两个。
这样,连接到第一真空输送室104上的真空处理室103的数目,比连接到第二真空输送室111上的真空处理室103的数目少。该真空侧部件102是由多个整体被减压并能够保持高真空度的压力的多个真空容器构成的部件。
第一真空输送室104及第二真空输送室111的内部与输送室连接并连通。在第一真空输送室104中,配备有在被减压的真空下、在锁定室105与真空处理室103及第二真空输送中间室112之间输送试样的独立型真空输送机器人108。另一方面,在第二真空输送室111中,在其中央配置有在真空处理室103与真空输送中间室112之间输送试样的连接型真空输送机器人109。
这些独立型真空输送室机器人108及连接型真空输送室机器人109,在其臂上载置试样的状态下,在第一真空输送室104中,在配置在真空处理室103中的试样台上与锁定室105或真空输送中间室112其中的任何一个之间,进行试样的搬入或搬出。同样地,在第二真空输送室111中,在配置在真空处理室103中的试样台上与真空输送中间室112之间,进行试样的搬入或搬出。在这些真空处理室103、锁定室105及真空输送中间室112与第一真空输送室104、第二真空输送室111的输送室之间,分别设置能够通过气密性地闭塞、打开的阀120连通的通路,该通路通过阀120开闭。
其次,说明利用前述结构的真空处理系统对试样进行处理时的试样的输送过程的概要。对于载置于盒台107中的一个上的盒内容纳的多个半导体晶片等基板状的试样,借助某种通信机构,接受来自于图中未示出的、与前述真空处理装置100的规定部位连接并调节真空处理系统100的动作的控制装置的指令,或者,接受来自于设置真空处理系统100的制造生产线的控制装置的指令,开始进行处理。接受到来自于控制装置的指令的大气侧输送机器人110,将盒内的通过预先的指令指定的试样从盒中取出,在作为框体106内的输送用的空间的大气输送室内进行输送,并被搬入到锁定室105内,进行交接。
输送、容纳试样的锁定室105,在容纳被输送的试样的状态下,闭塞阀120并密封,减压到规定的压力。之后,打开面向第一真空输送室104侧的阀120,锁定室105与第一真空输送室104的输送室连通,独立型真空输送机器人108,使其臂在锁定室105内伸展,将锁定室105内的试样输送到第一真空输送室104侧。独立型真空输送机器人108,在将载置在其臂上的试样从盒中取出时,将该试样搬入到预定的真空处理室103或真空输送中间室112其中的一个内。
在本实施例中,将第一、第二真空输送室104、111和与之连接的室之间的连通开启或闭塞的多个阀120,被排他性地开闭。即,被输送到真空输送中间室112中的试样,通过将对真空输送中间室112与第一真空输送室104之间进行开闭的阀120关闭,被密封在真空输送中间室112。之后,打开将真空输送中间室112与第二真空输送室111之间开闭的阀120,使配备在第二真空输送室内的连接型真空输送机器人109伸展,将试样输送到第二真空输送室111内。连接型机器人109,将载置在其臂上的试样输送到从盒中取出时预定的某一个真空处理室103中。
在试样被输送到某一个真空处理室103中之后,关闭开闭该处理室与真空输送室104之间的阀120,处理室被密封。之后,将处理用的气体导入到处理室内,在处理室内形成真空,对试样进行处理。
当检测出试样的处理结束时,打开开闭与前述处理室连接的第一真空输送室104或第二真空输送室111的输送室之间的阀120,独立型真空输送机器人108或连接型真空输送机器人109,与将该试样搬入处理室内的情况相反,将处理完毕的试样向锁定室105搬出。当试样被输送到锁定室105时,关闭将该锁定室105与真空输送室104的输送室连通的通路开闭的阀120,真空输送室104的输送室被密封,使锁定室105内的压力上升到大气压力。
之后,打开将与框体106的内侧之间气密性地密封并且闭塞的阀120,将锁定室105的内部与框体106的内部连通。在阀120打开的状态下,大气侧输送机器人110从锁定室105向原来的盒输送试样,返回到盒内的原来的位置。
图2A和2B是放大地表示图1所示的实施例的真空处理装置的第一真空输送室及其周围的结构的示意图。如图所示,独立型真空输送机器人108,包括输送试样用的第一臂201及第二臂202。
本实施例的独立型真空输送机器人108备有底座,该底座具有上下方向(在图中与纸面垂直的方向)的机器人整体的旋转轴且平面形状为圆形,在围绕配置在该圆形的中心的旋转轴旋转的底座上,两个臂的根部连接并连结到从机器人的旋转轴分别在半径方向上偏离规定的距离的位置,这种连结,能够围绕上下方向(图中与纸面垂直的方向)的轴旋转。进而,各个臂,从根部的轴起,第一臂、第二臂以及保持试样的第三臂由三个关节连结,进而,能够独立地进行臂的根部的上下围绕轴的旋转方向、上下方向、水平方向的伸缩动作。
进而,独立真空输送机器人108,在保持试样的情况下或者在输送试样时,为了各个臂或者保持的试样不与配备独立型真空输送机器人本身的第一真空输送室104的壁面、或者另外一个臂或者另外一个臂所保持的试样相互干扰,臂能够围绕多个部位的关节旋转并折叠。
本实施例的独立型真空输送机器人,是一种具有上述结构的输送装置,将第一真空输送室制成本实施例的独立型真空输送机器人108在臂的伸缩方向上受到制约的结构。各个臂具有只在从底座的中心向着其根部的方向上独立并且各个臂能够围绕其关节的轴旋转、伸缩、进行试样的输送的结构。借此,相对于与构成第一真空输送室104的真空容器的相对的侧壁的外侧连通配置的锁定室105、真空输送中间室112,能够并行地使各个臂伸缩,进行试样的输送。
另外,在独立型真空输送机器人108原封不动地保持试样旋转时,将第一臂201和第二臂202的每一个折叠,使配置在其前端部的试样保持部接近机器人的整体的旋转轴,以在折叠这些臂时的投影面积最小的方式,进行围绕旋转轴的旋转。借助这种结构,降低从第一真空输送室104的上面观察时看到的投影面积(占有面积)和容积,可以抑制被连通的真空处理室103或锁定室105、真空输送中间室112内的试样载置部位与旋转轴或与各个臂的根部的关节的轴之间的距离的扩大,抑制与对向的部位之间的输送时间,提高处理或工作效率。
通过制成上述结构,令独立型真空输送机器人108的旋转时的投影面积成为最小,也可以缩小第一真空输送室104的投影面积,并且,能够独立地控制试样的搬入、搬出,通过并行地访问位于对向方向的输送目的地,从而提高单位设置面积的生产率。
图2A表示在真空输送室104的内部,独立型真空输送机器人108使其第一臂201、第二臂202收缩的状态,即可输送试样的状态。
另一方面,图2B表示在第一臂201在前端部的试样保持部上载置试样的状态下伸长并向真空输送中间室112内进行输送的同时,第二臂202伸长并向第一锁定室105内输送试样的状态。这样,第一真空输送机器人108,通过并行地伸缩第一臂201、第二臂202,可以夹持第一真空输送室104并相对于和与之对向的位置连通的两个部位并行地进行输送。
图3A和图3B是放大地表示图1所示的实施例的真空处理装置的第二真空输送室及其周围的结构的示意图。如该图所示,配置在第二真空输送室111内的连接型真空输送机器人109,配备有输送试样用的第一臂203及第二臂204,它们能够相对于和第二真空输送室111连通的特定的室进行伸缩。
本实施例的连接型真空输送机器人109和独立型真空输送机器人108同样,具有配置在第二真空输送室111的中心部并围绕上下方向(在图中垂直于纸面的方向)的中心轴进行旋转的圆板形形状的底座,在该底座上,在从配置在底座的中心上的该机器人整体的旋转轴偏离规定的距离的部位上,配置有在向水平方向伸缩的第一臂203和第二臂204的根部上成为共通的旋转轴的上下方向的关节的轴。借助这种结构,两个臂内能够并行地相对相同的部位旋转伸缩。
进而,在各个臂的前端部配置试样保持部,同时,各个臂具有从根部开始用三个关节连接的横梁状的第一、第二、第三构件(前端侧的构件上连接有试样保持部),各个臂能够在上下方向、水平方向独立地进行伸缩动作。进而,具有能够将壁折叠的结构,从而,在保持试样时或者输送试样时,各个臂中的一个或者所保持的试样,不与内部配置连接型真空输送机器人109的第二真空输送室111的的壁面或另外的臂或者其上所保持的试样干涉。
在这种连接型真空输送机器人109原封不动地保持试样旋转时,第一、第二臂203、204,以向其下方的投影面积最小的方式被折叠,在使试样接近旋转轴的状态下,进行围绕旋转轴的旋转。本实施例的图1及图3所示的连接型真空输送机器人109的各个臂以连接第一构件和第二构件的关节相对于旋转轴或根部的轴向室的外侧变宽的方式被折叠,但是,也可以向着与各个臂伸长的方向相反的方向,以第二关节缩回的方式将臂折叠。
通过以上结构,使连接型真空输送机器人109的旋转投影面积最小,第二真空输送室111的投影面积也缩小,可以提高单位设置面积的生产率。
另外,图3A表示各个臂收缩并且在第二真空输送室111内输送试样的状态。图3B表示在第一臂203收缩并将实施处理的试样从真空处理室103中搬出之后,第二臂204伸长,将尚未施行处理的试样搬入到真空处理室103内的状态。这样,本实施例的连接型真空输送机器人109,可以相对于同一个部位使两个臂动作,进行试样的输送,例如,通过连续地进行这些动作,可以相对于真空处理室103或真空输送中间室112更换处理完毕的试样和未处理的试样。
下面,对于利用独立型真空输送机器人108、连接型真空输送机器人109输送试样时的动作进行详细说明。在本实施例中,这些机器人将试样从一个部位向另一个部位移送。令一个输送部位为输送部位A,将另外一个输送部位作为输送部位B。在这些部位,通常配置载置并保持试样的保持部。例如,在输送部位A是真空处理室103的情况下,配置在其内部、载置并保持试样的试样台相当于该保持部。
在输送部位A内,试样A被保持,等待输送,在另外一个输送部位B,试样B同样待机。输送部位A、B分别备有一个试样台,下面,只对搬入一个试样的情况进行说明。进行输送的机器人的两个臂都不保持试样,令其中的一个作为臂A,另外一个作为臂B。对于独立型真空输送机器人108,臂A从指向能够访问输送部位A的方向的状态开始动作。连接型真空输送机器人109的臂A及臂B,从指向能够访问输送部位A的方向的状态开始动作。动作的步骤数,将搬入、搬出、旋转90°作为一个步骤。
在独立型真空输送机器人108的结构中,对在两个输送部位连接并连通于与输送室对向的侧壁的情况下,试样的输送的动作步骤进行说明。首先,臂A指向输送部位A,使臂伸长,在输送部位A处接受试样A之后,将试样A搬出。在臂A动作开始的同时,或者在任意的时间差之后,臂B也向输送部位B伸出,同样地搬出所接受的试样B。其次,独立型真空输送机器人108,在将各个臂A、臂B折叠并使试样或臂前端的试样保持部最接近旋转轴的状态下,在保持向下方的投影面积成为最小的形状的状态下,围绕旋转轴旋转180°。旋转之后,将各个臂A、B再次向输送部位B、A伸长,将试样A搬入输送部位B,交接到内部的试样台上。同样地,将试样B向输送部位A输送并交接。在以上的动作中,由四个步骤构成。
另一方面,对以下情况下的动作步骤的概要进行说明,即,独立型真空输送机器人108对相互位于直角的位置上的两个输送部位进行输送。在这种情况下,首先,臂A指向输送部位A,将臂伸长,将试样A搬出。将保持试样A的臂A折叠,在使试样或试样保持部位于最接近旋转轴的位置之后,连接型真空输送机器人108围绕旋转轴旋转90°,一直到臂B能够访问输送部位B的位置。在臂B指向输送部位B并且机器人旋转到能够使臂以最短的距离伸长的位置之后,臂B伸长,进入输送部位B之后,接受试样,将试样B从输送部位B搬出。
在保持试样B的臂B被折叠之后,在独立型真空输送机器人108围绕旋转轴旋转180°,直到臂A能够向输送部位B以最短的距离伸长的位置之后,将臂A伸长,将试样A向输送部位B搬入。在臂A被折叠之后,机器人围绕旋转轴旋转90°,直到臂B能够以最短的距离向输送位置A伸长的位置。当旋转结束时,再次将臂B伸长,将试样B向输送部位A搬入。这样,与对向方向上的输送相比,在直角方向上的输送中,动作步骤数增加到八个。
下面,对于在连接型真空输送机器人109中,在两个试样的输送部位位于相互对向的方向上的位置上时的、试样的输送动作的概要进行说明。在配备于连接型真空输送机器人109上的两个臂中,一个臂A指向输送部位A,将臂伸长,搬出试样A。在臂A在保持试样A的状态下被折叠之后,连接型真空输送机器人109旋转180°,直到能够以最短的距离向输送部位B伸长的位置。在机器人旋转到能够向输送部位B伸长的位置时,使臂B向输送部位B伸长,在试样B被交接到臂B上之后,伴随着该臂的收缩,该试样B被从输送部位B搬出。
在保持试样B的臂B被折叠之后,臂A指向输送部位B,将臂伸长,搬入试样A。在臂A将试样A交接到试样台上并被折叠之后,将连接型真空输送机器人109旋转180°,直到能够将臂向输送部位A伸缩的位置。当机器人旋转到能够将臂向输送部位A伸长的位置上时,将臂B向输送部位A伸长,将试样A搬入到输送部位A内,并交接到试样台上。这种情况下的动作的步骤为八个。
其次,对连接型真空输送机器人109向相互位于直角的输送部位输送时的输送动作的概要进行说明。臂A指向输送部位A将臂伸长,接受试样A并将其搬出。在臂A保持试样A并被折叠之后,连接型真空输送机器人109旋转90°,直到臂能够向输送部位B伸长的位置。当机器人旋转到能够向输送部位B伸长的位置时,将臂B向输送部位B伸长,接受试样B并将其搬出。
在保持试样B的臂B被折叠之后,臂A指向输送部位B,将臂伸长,搬入试样A,交接到试样台上。在臂A被折叠之后,连接型真空输送机器人109旋转90°,直到能够将臂向输送部位A伸长的位置。当机器人旋转到能够向输送部位A伸长的位置时,将臂B向输送部位A伸长,搬入试样A并进行交接。在这种情况下,动作由六个步骤构成。
在图1所示的本实施例中,独立型真空输送机器人108配置在第一真空输送室104内的中央部。如图2所示,独立型真空输送机器人108将处理前、处理后的试样输送到配置在对向位置的锁定室105和真空输送中间室112之间。在第一真空输送室104中,配置有一个真空处理室103,独立型真空输送机器人108也将处理前、处理后的试样输送到锁定室105与该真空处理室103之间。本实施例的真空处理装置,在这种配置中,通过利用配置在第一真空输送室104中的上述独立型真空输送机器人108输送试样,提高动作效率,提高处理效率,前述第一真空输送室104连接对向方向上的一个或者多个输送路径。
另外,在本实施例中,如图3A和3B所示,在连接对向的两个真空处理室103的第二真空输送室111的内部配置连接型真空输送机器人109。该连接型真空输送机器人109,在图中的上下方的真空输送中间室112与两个真空处理室103之间,在图上直角方向输送处理前、处理后的试样。如上所述,在本实施例中,连接型真空输送机器人109与独立型真空输送机器人108相比,可以减少在直角方向上的输送所需要的动作的步骤,在只在直角方向上连接一个或多个输送路径的第二真空输送室111中,通过利用连接型真空输送机器人109输送试样,提高动作效率、提高处理效率。
下面对这种配备有独立型真空输送机器人108及连接型真空输送机器人109的本实施例的真空处理装置的动作的概要进行说明。在图1中,第一真空输送室104在正常状态下,将未处理的试样从锁定室105向预定的真空处理室103输送。另外,在真空处理室103中被处理过的试样,被向锁定室105输送。如上所述,相对于作为未处理试样的输送源、处理完毕试样的输送目的地的锁定室105,真空输送中间室112连接到对向方向上,在直角方向上连接有真空处理室103。即,配备在第一真空输送室104中的真空输送机器人进行直角方向上和对向方向上的输送。对于对向方向的输送路径,独立真空输送机器人108进行所述输送。
在图1中,在第二真空输送室109中,在正常状态下,未处理的试样从真空锁定室105开始,在连接到第二真空输送室109的真空输送中间室112中转,被向连接到第二真空输送室109的真空处理室103输送。另外,在从连接到第二真空输送室109的真空处理室103向锁定室105输送处理完毕的试样时,在真空输送中间室112中转,向锁定室105中输送。如上所述,相对于作为未处理试样的输送源、处理完毕的试样的输送目的地的真空输送中间室,真空处理室103连接到直角方向上的两个部位。即,配备在第二真空输送室111中的连接型真空输送机器人109只进行直角方向上的输送。
根据上面所述的实施例,可以提供一种单位设置面积的生产率高的半导体制造装置。
符号说明
101…大气侧部件
102…真空侧部件
103…真空处理室
104…第一真空输送室
105…锁定室
106…框体
107…盒台
108…独立型真空输送机器人
109…连接型真空输送机器人
110…大气输送机器人
111…第二真空输送室
112…真空输送中间室
120…阀
201…第一臂
202…第二臂
203…连接型真空输送机器人第一臂
204…连接型真空输送机器人第二臂

Claims (5)

1.一种真空处理装置,包括:大气输送容器,所述大气输送容器在前表面侧配置有载置内部容纳有作为处理对象的晶片的盒的盒台,并且在大气压下在其内部输送前述晶片;至少一个锁定室,所述锁定室在前述大气输送容器的背面侧与之连接并且并列地配置,能够在大气压力和被减压的压力之间调节能够容纳前述晶片的内部的压力;第一输送容器,所述第一输送容器在前述锁定室的后方侧与之连接,具有在被减压到规定的真空度的内部输送前述晶片的第一机器人;
第二输送容器,所述第二输送容器,配置在该第一输送室的后方侧,与该第一输送室连接,具有在被减压到前述真空度的内部输送前述晶片的第二机器人;中转容器,所述中转容器位于前述第一输送容器与第二输送容器之间,在隔着前述第一输送容器而与前述锁定室相反的一侧将它们连接起来配置,在气密性地被密封的内部配备有容纳部,该容纳部在前述第一及第二机器人之间交接前述晶片;处理容器,所述处理容器连接到与第二输送容器的周围的前述中转容器大致成直角侧,在内部的处理室处理前述晶片;
前述第一机器人具有两个臂,所述两个臂的每一个的根部可围绕配置在前述第一输送容器内的轴旋转地配置,在前端部配置有晶片保持部,并且在夹持前述轴的两侧的方向上伸缩,使前述晶片保持部移动,所述第二机器人具有两个臂,所述两个臂的每一个的根部可围绕配置在前述第二输送容器内的轴旋转地配置,在前端部配置有晶片保持部,并且在围绕所述轴的相同的方向上伸缩,使前述晶片保持部移动。
2.如权利要求1所述的真空处理装置,前述第一或第二机器人的前述两个臂的前述晶片保持部配置在上下方向上的不同的位置上。
3.如权利要求1或2所述的真空处理装置,前述第一机器人在两个晶片保持部上的每一个上保持晶片,相对于前述中转室及前述锁定室并行地搬入或搬出晶片。
4.如权利要求1至3中任何一项所述的真空处理装置,在前述任何一个臂的晶片保持部上保持处理前的晶片的前述第二机器人使另外一个臂伸缩,在该晶片保持部接受在前述处理室内处理完毕的晶片后,使前述一个臂伸缩,将前述未处理的晶片在前述处理室内交接,交换前述处理前及处理完毕的晶片。
5.如权利要求1至4中任何一项所述的真空处理装置,配备有多个阀,所述多个阀配置在前述处理容器与前述第二输送容器之间及前述第一、第二输送容器之间,将连通它们之间的通路开启或者气密性地闭塞,这些阀以排他性地开启前述处理容器内部的方式调节动作。
CN2010102606997A 2010-07-27 2010-08-20 真空处理装置 Pending CN102347256A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010167689A JP2012028659A (ja) 2010-07-27 2010-07-27 真空処理装置
JP2010-167689 2010-07-27

Publications (1)

Publication Number Publication Date
CN102347256A true CN102347256A (zh) 2012-02-08

Family

ID=45526905

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010102606997A Pending CN102347256A (zh) 2010-07-27 2010-08-20 真空处理装置

Country Status (5)

Country Link
US (1) US20120027542A1 (zh)
JP (1) JP2012028659A (zh)
KR (1) KR20120010945A (zh)
CN (1) CN102347256A (zh)
TW (1) TWI447838B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051295A (zh) * 2013-03-14 2014-09-17 株式会社日立高新技术 真空处理装置及其运转方法
CN112249685A (zh) * 2020-10-27 2021-01-22 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
TWI691388B (zh) * 2011-03-11 2020-04-21 美商布魯克斯自動機械公司 基板處理裝置
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
CN106162906B (zh) * 2015-03-31 2019-01-15 中兴通讯股份有限公司 调度信息发送、接收方法及装置
US9889567B2 (en) 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7115879B2 (ja) 2018-03-23 2022-08-09 株式会社日立ハイテク 真空処理装置の運転方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP6990800B1 (ja) 2020-03-24 2022-01-14 株式会社日立ハイテク 真空処理装置
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
US20080226429A1 (en) * 2003-11-10 2008-09-18 Van Der Meulen Peter Multi-function vacuum link
CN101310041A (zh) * 2006-01-13 2008-11-19 东京毅力科创株式会社 真空处理装置和真空处理方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US20040005211A1 (en) * 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP3890896B2 (ja) * 2001-01-24 2007-03-07 株式会社明電舎 基板搬送用ロボット
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US20050220582A1 (en) * 2002-09-13 2005-10-06 Tokyo Electron Limited Teaching method and processing system
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
JP4816790B2 (ja) * 2003-06-02 2011-11-16 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
JP4493955B2 (ja) * 2003-09-01 2010-06-30 東京エレクトロン株式会社 基板処理装置及び搬送ケース
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8029226B2 (en) * 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
KR100754245B1 (ko) * 2006-02-06 2007-09-03 삼성전자주식회사 반도체 제조용 웨이퍼 이송로봇 및 그를 구비한 반도체제조설비
JP4928562B2 (ja) * 2006-12-05 2012-05-09 芝浦メカトロニクス株式会社 ロボット装置およびこれを備えた処理装置、処理システム、処理方法
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
US20080226429A1 (en) * 2003-11-10 2008-09-18 Van Der Meulen Peter Multi-function vacuum link
CN101310041A (zh) * 2006-01-13 2008-11-19 东京毅力科创株式会社 真空处理装置和真空处理方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051295A (zh) * 2013-03-14 2014-09-17 株式会社日立高新技术 真空处理装置及其运转方法
CN104051295B (zh) * 2013-03-14 2017-05-10 株式会社日立高新技术 真空处理装置及其运转方法
CN112249685A (zh) * 2020-10-27 2021-01-22 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法
CN112249685B (zh) * 2020-10-27 2022-04-01 光驰科技(上海)有限公司 进行基板快速交互搬送的机构及其搬送方法

Also Published As

Publication number Publication date
KR20120010945A (ko) 2012-02-06
TW201205710A (en) 2012-02-01
US20120027542A1 (en) 2012-02-02
JP2012028659A (ja) 2012-02-09
TWI447838B (zh) 2014-08-01

Similar Documents

Publication Publication Date Title
CN102347256A (zh) 真空处理装置
KR101887110B1 (ko) 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
CN102064123B (zh) 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法
JP5853991B2 (ja) 基板搬送ロボット、基板搬送システムおよび基板搬送方法
KR101429827B1 (ko) 반송 시스템
US20020044860A1 (en) Processing system
CN102569016B (zh) 真空处理装置
KR20110052443A (ko) 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR19980041847A (ko) 기판 처리시스템
WO2013001930A1 (ja) 保管装置と保管方法
JP2012028659A5 (zh)
US20140216658A1 (en) Vacuum processing device
CN104051295B (zh) 真空处理装置及其运转方法
CN102299043A (zh) 真空处理装置
JP2012146721A (ja) 真空処理装置
KR102305353B1 (ko) 기판 처리 장치 및 기판 반송 방법
JP2013131543A (ja) 基板処理装置
US11804393B2 (en) Wafer processing apparatus including equipment front end module (EFEM) and wafer processing method using the same
KR102411440B1 (ko) 웨이퍼 처리 시스템 및 웨이퍼 처리 방법
WO2019230711A1 (ja) ロボットシステム
KR20230063904A (ko) 인-챔버 웨이퍼 이송 로봇
JPH07288275A (ja) ハンドリングアーム
JP2020174171A (ja) 基板処理システム、基板搬送装置及び方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120208