CN102064123B - 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法 - Google Patents

半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法 Download PDF

Info

Publication number
CN102064123B
CN102064123B CN201010268843.1A CN201010268843A CN102064123B CN 102064123 B CN102064123 B CN 102064123B CN 201010268843 A CN201010268843 A CN 201010268843A CN 102064123 B CN102064123 B CN 102064123B
Authority
CN
China
Prior art keywords
vacuum
carrying
chamber
valve
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010268843.1A
Other languages
English (en)
Other versions
CN102064123A (zh
Inventor
田内勤
近藤英明
仲田辉男
野木庆太
下田笃
智田崇文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of CN102064123A publication Critical patent/CN102064123A/zh
Application granted granted Critical
Publication of CN102064123B publication Critical patent/CN102064123B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供将真空搬运室所具备的真空处理室的配置最佳化,且单位设置面积的被处理物的生产能力高的装置。一种半导体被处理基板的真空处理系统及使用该系统的真空处理方法,半导体被处理基板的真空处理系统具备:大气搬运室,其前面侧配置多个盒台,搬运设置于所述多个盒台内的一个上的盒内所收纳的晶片;锁定室,其配置于该大气搬运室的后方,在内部收纳从该大气搬运室搬运的所述晶片;第一真空搬运室,其与该锁定室的后方连结,从该锁定室搬运所述晶片;搬运中间室,其与所述第一真空搬运室的后方连结;第二真空搬运室,其与该搬运中间室的后方连结,从该搬运中间室搬运所述晶片;与所述第一真空搬运室的后方连结、处理从所述第一真空搬运室搬运的所述晶片的至少一个真空处理室;与所述第二真空搬运室的后方连结、处理从所述第二真空搬运室搬运的所述晶片的两个以上的真空处理室,与所述第一真空搬运室连结的真空处理室的数量比与所述第二真空搬运室连结的真空处理室的数量少,或者将与所述第一真空搬运室连结的真空处理室的使用限制为一个。

Description

半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法
技术领域
本发明涉及在半导体处理装置的真空处理室和真空搬运室之间具备半导体被处理基板(以下包含半导体晶片及基板状的试样等,简称为“晶片”)的搬运机构的真空处理系统的构成、及使用该系统的真空处理方法。特别是涉及将多个真空处理室经由多个真空搬运室内的搬运机构串联配置的真空处理系统的构成及真空处理方法。 
背景技术
在上述那样的装置,特别是在减压的装置内对处理对象进行处理的装置中,在寻求处理的微细化、高精度化的同时,寻求作为处理对象的被处理基板的处理效率的提高。因此,近年来开发一个装置具备多个真空处理室的多腔装置,使清洁室的单位设置面积的生产效率提高。 
具备这样的多个真空处理室或腔并进行处理的装置,各自的真空处理室或腔能够减压地调节内部的气体或其压力,与具备用于搬运被处理基板的机器人臂等的真空处理室(搬运腔)进行连接。 
在这样的构成时,真空处理装置整体的大小由真空搬运室及真空处理室的大小、数量及配置决定。真空搬运室的构成由相邻的真空搬运室或真空处理室的连接数量、内部的搬运机器人的回转半径、晶片尺寸等决定。另外,真空处理室由晶片尺寸、排气效率、为处理晶片而所需的设备的配置决定。另外,真空搬运室及真空处理室的配置也由生产所需的处理室的数量及维护性来决定。 
在上述基础上,专利文献1中记载有,在真空下的半导体处理系统中,关于对加工中的制品进行处理的方法及系统,为了将线形处理系统横断而用于从臂向臂处理材料的方法及系统。该专利文献1中, 提供一种真空处理系统,其课题在于对于如下半导体制造装置存在需要性:能够避免线形工具的问题,并且能够克服成束工具固有的限制,在小的设置面积移动晶片。 
专利文献1:(日本)特表2007-511104号公报 
但是,上述现有技术中,搬运晶片时的方法及系统构成是重点,对如下方面考虑不足。 
即,在构成真空处理系统的各单元的数量和配置中,作为主要的单元的对处理对象的晶片进行处理的处理室及用于进行真空搬运的真空搬运室和真空处理室的配置关系未进行生产性的效率最佳的配置关系的考虑,结果是单位设置面积的生产性不能最佳化。 
未充分考虑到这种单位设置面积的生产性的现有技术中,构成真空处理系统的装置的单位设置面积的晶片的处理能力受到损害。 
发明内容
因此,本发明的目的在于,提供单位设置面积的生产性高的半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法。 
为解决所述课题,本发明提供一种半导体被处理基板的真空处理系统,其特征在于,具备:大气搬运室,其前面侧配置多个盒台,搬运设置于所述多个盒台内的一个上的盒内所收纳的晶片;锁定室,其配置于该大气搬运室的后方,在内部收纳从该大气搬运室搬运的所述晶片;第一真空搬运室,其与该锁定室的后方连结,从该锁定室搬运所述晶片;搬运中间室,其与所述第一真空搬运室的后方连结;第二真空搬运室,其与该搬运中间室的后方连结,从该搬运中间室搬运所述晶片;与所述第一真空搬运室的后方连结、处理从所述第一真空搬运室搬运的所述晶片的至少一个真空处理室;与所述第二真空搬运室的后方连结、处理从所述第二真空搬运室搬运的所述晶片的两个以上的真空处理室,与所述第一真空搬运室连结的真空处理室的数量比与所述第二真空搬运室连结的真空处理室的数量少。 
另外,本发明的半导体被处理基板的真空处理系统,其特征在于,作为与所述第一真空搬运室连结且处理从所述第一真空搬运室搬运来 的所述晶片的第一真空处理室、和与所述第二真空搬运室连结且处理从所述第二真空搬运室搬运来的所述晶片的第二及第三真空处理室,将与所述第一真空搬运室连结的真空处理室的数量设为1个,将与所述第二真空搬运室连结的真空处理室的数量设为2个。 
另外,本发明的半导体被处理基板的真空处理系统,其特征在于,在所述第一及第二真空搬运室的各自内部配置搬运机器人,该搬运机器人包括具有多个臂的搬运机器人。 
另外,为解决所述课题,本发明提供一种半导体被处理基板的真空处理方法,使用半导体处理基板的真空处理系统处理半导体被处理基板,所述半导体被处理基板的真空处理系统具备:大气搬运室,其前面侧配置多个盒台,搬运设置于所述多个盒台内的一个上的盒内所收纳的晶片;锁定室,其配置于该大气搬运室的后方,在内部收纳从该大气搬运室搬运的所述晶片;第一真空搬运室,其与该锁定室的后方连结,从该锁定室搬运所述晶片;搬运中间室,其与所述第一真空搬运室的后方连结;第二真空搬运室,其与该搬运中间室的后方连结,从该搬运中间室搬运所述晶片;与所述第一真空搬运室的后方连结、处理从所述第一真空搬运室搬运的所述晶片的多个真空处理室;与所述第二真空搬运室的后方连结、处理从所述第二真空搬运室搬运的所述晶片的多个真空处理室,其特征在于,以使用与所述第一真空搬运室连结的多个真空处理室内的一个真空处理室的方式控制所述晶片的搬运。 
另外,本发明的半导体被处理基板的真空处理方法,其特征在于,在所述第一及第二真空搬运室的各自内部配置有搬运机器人,该搬运机器人包括具有多个臂的搬运机器人,以使用与所述第一真空搬运室连结的多个真空处理室内的一个真空处理室的方式控制所述搬运机器人进行的所述晶片的搬运。 
根据本发明,能够提供单位设置面积的生产性高的半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法。 
另外,能够提供低异物且能够抑制交叉污染的半导体被处理基板 的真空处理系统及半导体被处理基板的真空处理方法。 
附图说明
图1是说明本发明第一实施方式的包含真空处理装置的真空处理系统的整体的构成的概略的图; 
图2A是图1所示说明了的本发明实施方式的真空输送室的放大图,是缩短了机器人臂的状态; 
图2B是图1所示说明了的本发明实施方式的真空搬运室的放大图,是伸展了机器人臂的状态; 
图3是说明本发明其它实施方式的包含真空处理装置的真空处理系统的整体的构成的概略的图。 
符号说明 
100真空处理系统 
101大气侧模块 
102真空侧模块 
103真空处理室 
104第一真空搬运室 
105模块室 
106框体 
107盒台 
108真空搬运机器人 
109大气搬运机器人 
110第二真空搬运室 
111真空搬运中间室 
120阀 
201第一臂 
202第二臂 
具体实施方式
下面,参照附图对本发明的半导体被处理基板的真空处理系统及真空处理方法的实施方式进行详细说明。 
图1说明本发明第一实施方式的包含多个真空处理室的真空处理系统的整体构成的概略。 
图1所示的本发明第一实施方式的包含多个真空处理室103、103、103的真空处理系统100大致划分的话,由大气侧模块101和真空侧模块102构成。大气侧模块101是在大气压下对被处理物即半导体晶片等进行搬运、收纳定位等的部分,真空侧模块102是在从大气压减压的压力下搬运晶片,且在预定的真空处理室103内进行处理的模块。而且,在进行搬运或处理的真空侧模块102和大气侧模块101之间具备作为在内部具有晶片的状态下使压力在大气压和真空压之间浮动的部分的锁定室105。 
本发明的真空处理系统100的第一实施例表示如下构成的实施例:具备三个真空处理室103,且在真空侧模块102的搬运时间与在大气侧模块101的搬运时间相比较长的状态的情况下,单位设置面积的生产性高的系统。另外,本实施例是在真空处理室103处理晶片的时间或晶片滞留于真空处理室103内的时间比搬运晶片所需的时间短的情况的例子。由此,作为整体的处理时间通过搬运来衡量测定,将该状态称作搬运控速。 
大气侧模块101具有在内部具备大气搬运机器109的大致长方体形状的框体106,在该框体106的前面侧具备多个盒台107、107、107。收纳有处理用晶片或真空处理室103的清洁用的作为被处理物的晶片的盒被载置作为多个盒台107、107、107。 
在真空侧模块102上与大气侧模块101相接设置有一个锁定室105。该锁定室105被配置于真空侧模块102的第一真空搬运室104和大气侧模块101之间,以内部具有在大气侧和真空侧之间进行互换的晶片的状态使内部的压力在大气压和真空压之间变化,搬运晶片。另外,锁定室105中具备可将晶片在上下方向重叠两片以上载置的工作台。第一真空搬运室104的平面形状为大致矩形形状,内部被减压,向其内部搬运晶片。 
在该第一真空搬运室104,在其两面能够连接对晶片进行处理的 真空处理室103。本发明第一实施例中,仅对于第一真空搬运室104的两个面中的一个面连接有真空处理室103。另外,本实施例中,第一真空搬运室104的平面形状为大致矩形形状,但也可以为三角形以上的多角形状,也可以呈球面状。另外,在第一真空搬运室104的另一边具备在与第二真空搬运室101之间互换晶片的真空搬运中间室111。真空搬运中间室111也与锁定室105相同,具备能够将晶片在上下方向重叠载置两片以上的工作台。由此,能够缩短整体的处理时间中花费时间的搬运时间。 
另外,在真空搬运中间室111的一方连接有第一真空搬运室104,在另一方连接有第二真空搬运室110。第二真空搬运室110的平面形状也为大致矩形形状,可连接三个真空处理室103,但本实施例中连接有两个真空处理室103、103。另外,在本实施例中,第二真空搬运室110的平面形状为大致矩形形状,但也可以为其它多边形状。 
在此,与配置于近前的第一真空搬运室104连接的真空处理室103的数量比与配置于内侧的第二真空搬运室110连接的真空处理室103的数量少至为重要。本实施例中,与配置于近前的第一真空搬运室104连接的真空处理室103的数量为1个,与配置于内侧的第二真空搬运室110连接的真空处理室103的数量为两个。在本发明中,将与配置于近前的第一真空搬运室104连接的真空处理室103称作“近前的真空处理室”,将与配置于内侧的第二真空处理室110连接的真空处理室103、103称作“内侧的真空处理室”。 
该真空侧模块102为能够将整体减压而维持在高的真空度的压力的容器。 
第一真空搬运室104为在其内部搬运晶片的搬运室。在第一真空搬运室104内,在其中央配置有在真空下在锁定室105和真空处理室103之间、或锁定室105和真空搬运中间室111之间搬运晶片的真空搬运机器人108(图2)。同样,在第二真空搬运室110内,在其中央配置有在真空下在真空搬运中间室111和两个真空处理室103、103内的任一个真空处理室103之间搬运晶片的真空搬运机器人108(图2)。 设于该第一真空搬运室104及第二真空搬运室110内的真空搬运机器人108在其臂上载置晶片,在配置于真空处理室103的晶片台上对锁定室105或真空搬运中间室111的任一个进行晶片的搬入、搬出。在这些真空处理室103、锁定室105及真空搬运中间室111和第一真空搬运室104之间设有通过可分别气密闭塞、开放的阀120连通的通路。同样,在真空处理室103及真空搬运中间室111和第二真空搬运室110之间设有通过可分别气密闭塞、开放的阀120连通的通路。这些通路通过阀120进行开闭。 
其次,说明利用如上构成的真空处理系统100对晶片进行处理时的晶片的真空处理方法中的晶片搬运过程的概要。 
在载置于多个盒台107、107、107的任一个上的盒内收纳的多个半导体晶片等在调节真空处理系统100的动作的控制装置(未图示)的判断下、或接收来自设置真空处理系统100的制造生产线的控制装置等(未图示)的指令,开始其处理。首先,接收到来自控制装置的指令的大气搬运机器人109从盒中取出盒内的特定的晶片,将所取出的晶片搬运到锁定室105。 
搬运并存储有晶片的锁定室105在收纳了搬运的晶片的状态下将阀120闭塞并密封,减压至规定的压力。在锁定室105内能够收纳两片以上的多片晶片。之后,使面向第一真空搬运室104的一侧的阀120开放,使锁定室105和第一真空搬运室104的搬运室连通,真空搬运机器人108使其臂伸向锁定室105内,将锁定室105内的晶片搬运至第一真空搬运室104侧。在第一真空搬运室104内能够收纳两片以上的多片晶片。真空搬运机器人108将载置于该臂上的晶片在从盒取出了时搬入预先决定的真空处理室103或真空搬运中间室111的任一个内。 
本实施例中,各阀120选择性地开闭一个。即,在将晶片从第一真空搬运室104搬运到近前的真空处理室103的情况下,使对真空搬运中间室111和第一真空搬运室104之间进行开闭的阀120、和对锁定室105和第一真空搬运室104之间进行开闭的阀120关闭,使对近 前的真空处理室103和第一真空搬运室104之间进行开闭的阀120开放,将晶片搬运至真空处理室103内。另外,在将搬运到真空搬运中间室111的晶片向内侧的真空处理室103搬运时,使对真空搬运中间室111和第一真空搬运室104之间进行开闭的阀120关闭,密封真空搬运中间室111。之后,将对真空搬运中间室111和第二真空搬运室110之间进行开闭的阀120开放,使第二真空搬运室110所具备的真空搬运机器人108伸展,将晶片搬运至第二真空搬运室110内。其次,真空搬运机器人108将载置于其臂上的晶片搬运至配置于内侧的两个真空处理室103、103内的预先决定的任一个真空处理室103内。 
在将晶片搬运到配置于内侧的真空处理室103、103内的任一个后,使对该真空处理室103和第二真空搬运室110之间进行开闭的阀120关闭,将该真空处理室103密封。之后,向该真空处理室103内导入处理用气体,在使该真空处理室103内达到规定的压力后,对晶片进行处理。该真空处理室103内的晶片的处理与配置于近前的真空处理室103内的处理也相同。 
在任一真空处理室103内检测到晶片处理结束时,使对与该真空处理室103连接的第一真空搬运室104或第二真空搬运室110的各搬运室之间进行开闭的阀120开放,该搬运室内的真空搬运机器人108将处理完的晶片以与将该晶片搬入该真空处理室103内的情况相反地向锁定室105或真空搬运中间室111搬出。从内侧的真空处理室103经由真空搬运中间室111将晶片搬运到锁定室105,或从近前的真空处理室103将晶片搬运至锁定室105时,使对将该锁定室105和第一真空搬运室104的搬运室连通的通路进行开闭的阀120关闭,将第一真空搬运室104的搬运室密封,使锁定室105内的压力上升至大气压。 
之后,使框体106内侧的阀120开放,将锁定室105的内部和框体106的内部连通,成为大气压状态,大气搬运机器人109从锁定室105将晶片搬运至本来的盒,返回盒内本来的位置。 
本发明中,在真空处理室103处理晶片的时间、或晶片滞留于真空处理室103内的时间比搬运晶片的时间短的搬运控速的情况下特别 能够发挥效果。本发明第一实施方式的真空处理系统具备配置于近前的第一真空时搬运室104及配置于内侧的第二真空搬运室110,在配置于近前的第一真空搬运室104具备一个真空处理室103,在配置于内侧的第二真空搬运室110具备两个真空处理室103、103。该情况下,在第一真空搬运室104及第二真空搬运室110配置有具备两个臂的真空搬运机器人。这样,通过设为在近前具备一个真空处理室103,在内侧具备两个真空处理室103、103的构成,能够缩短配置于近前的真空处理室103内的处理完的晶片的搬运等待时间,能够构成生产性高的真空处理系统。 
图2A、图2B是图1所示说明了的第一真空搬运室104的放大图。真空搬运机器人108具备用于搬运晶片的第一臂201及第二臂202。本实施例中,臂有两个,但也可以是三个或四个的多个。 
各臂201、202具有经由关节将多个梁部件的两端连结的构造。各臂201、202具有通过可转动地轴支承于多个梁部件的两端,能够使各臂201、202分别绕根部侧端部的轴独立进行旋转运动、上下方向及水平方向的伸缩动作的构成。通过该构成,能够独立控制多个晶片的搬入、搬出,通过并列访问多个搬运点或同时搬入、搬出两片晶片,能够提高搬运处理能力。 
图2A表示各臂201、202将晶片从各自的部位搬运到第一真空搬运室104的状态。图2B表示第一臂201将晶片搬运至真空处理室103,并且第二臂202将晶片搬运至锁定室105的状态。该情况下,与一片一片地搬运晶片的情况不同,阀120不是选择性地仅开闭一个,而必须进行存取时必要的两个阀120、120的开闭控制。 
即使在这样的情况下,如上所述,通过在近前具备一个真空处理室103,在内侧具备两个真空处理室103、103的构成的真空处理系统100,提高单位设置面积的晶片的处理效率。 
这是由以下理由引起的。在上述搬运控速的情况下,将向真空处理室103搬运晶片的时间(在真空搬运机器人108保持晶片的状态下从在真空处理室103之前待机的状态到晶片向真空处理室103内的搬 运完成并关闭阀102的时间)和向真空搬运中间室111搬运晶片的时间(在真空搬运机器人108保持晶片的状态下从在搬运中间室111之前待机的状态到晶片向搬运中间室111的搬运完成并关闭阀120的时间)相比较,向真空搬运中间室111的搬运时间短。因此,假如在配置于近前的第一真空搬运室104连接两个真空处理室103,在配置于内侧的第二真空搬运室110只连接一个真空处理室103时,配置于近前的第一真空搬运室104的晶片搬运时间成为真空处理系统100整体的搬运时间的瓶颈。另一方面,在本发明第一实施例中,由于配置于内侧的第二真空搬运室110成为瓶颈,从而抑制配置于近前的第一真空搬运室104成为瓶颈,能够防止损害作为真空处理系统100整体的处理效果,因此,通过本实施例那样的真空处理室的配置构成,能够提高单位设置面积的晶片的处理效率。 
另外,在该第一实施例中,由于为将第一真空搬运室104和近前的真空处理室103或锁定室105(或第二真空搬运室110和内侧的真空处理室103或真空搬运中间室111)之间经由排他性开闭的阀120连通的构造,所以对于异物或交叉污染的抑制是有效的。 
在图1的系统构成的情况下,能够连接真空处理室103的物理的最大数量为5个。但是,在本发明中,与配置于近前的第一真空搬运室104连接的真空处理室103的数量比与配置于内侧的第二真空搬运室110连接的真空处理室103的数量少至为重要。于是,下一实施例是连接有四个真空处理室的情况下得到与图1所示的第一实施例同等的晶片的处理效率的运用例。 
图3中,对于图1所示的第一实施例,在配置于近前的第一真空搬运室104加上一个真空处理室103,且具备两个真空处理室103、103。在这样的第二实施例的方式中,在处理晶片时,根据处理时间选择性地仅使用第一真空搬运室104所具备的两个真空处理室103、103内的任一单侧的真空处理室103。而且,通过使用与距大气搬运侧远的内侧的第二真空搬运室110连接的多个真空处理室103、103进行生产,得到与图1所示的第一实施方式相同的生产效率提高的效果。 
但是,在不是以本发明为对象的场合的、在配置于近前的第一真空搬运室104的晶片搬运时间成为真空处理系统100整体的搬运时间的瓶颈的情况的情况下,如果在配置于近前的第一真空搬运室104也连接多个真空处理室103、103,则根据真空处理室103的晶片的处理时间或真空处理室103内的滞留时间,也有时即使在近前使用所有的真空处理室103、103的方式使生产效率提高,因此,真空处理装置所具备的控制装置(未图示)不排斥对应于处理时间的真空处理室103的生产效率进行最佳的运用。 
在第二实施例中,各阀120也选择性地使一个进行开闭。即,使对真空搬运中间室111和第一真空搬运室104之间进行开闭的阀120、和对锁定室105和第一真空搬运室104之间进行开闭的阀关闭,进而使对近前右的真空处理室103和第一真空搬运室104之间进行开闭的阀120关闭,使对近前左的真空处理室103和第一真空搬运室104之间进行开闭的阀120开放,将晶片搬运至近前左的真空处理室103。使用左右哪一个真空处理室103是任意的。另外,搬运到真空搬运中间室111的晶片,将与第一真空搬运室104之间进行开闭的阀120关闭,将真空搬运中间室111密封。之后,将对真空搬运中间室111和第二真空搬运室110之间进行开闭的阀120开放,使第二真空搬运室110所具备的真空搬运机器人108伸展,将晶片搬运至第二真空搬运室110内。真空搬运室机器人108将载置于其臂上的晶片在从盒取出时搬运至预先决定的内侧的任一真空处理室103。 
将晶片搬运到内侧的任一真空处理室103后,使对该真空处理室103和第一真空搬运室104之间进行开闭的阀120关闭,将真空处理室103密封。之后,将处理用气体导入真空处理室103,在真空处理室103内达到规定的压力后,对晶片进行处理。 
当检测到晶片的处理结束时,使对与上述的真空处理室103连接的第一真空搬运室104或第二真空搬运室110的搬运室之间进行开闭的阀120开放,真空搬运机器人108将处理完的晶片与将该晶片搬入真空处理室103内的情况相反地向锁定室105搬出。当将晶片搬运到 锁定室105时,对将该锁定室105和第一真空搬运室104的搬运室连通的通路进行开闭的阀120关闭,将第一真空搬运室104的搬运室密封,使锁定室105内的压力上升至大气压。 
之后,使框体106的内侧的阀120开放,使锁定室105的内部和框体106的内部连通,大气搬运机器人109将晶片从锁定室105搬运到本来的盒,并返回到盒内的本来的位置。 
本发明即使如第二实施例那样在配置于近前的第一真空搬运室104具备两个真空处理室103、103,通过任意选择地使用近前的真空处理室103、103的任一真空处理室103,也能够实现与第一实施例相同的效果。 

Claims (5)

1.一种真空处理装置,具备:大气搬运室,其前面侧配置多个盒台,搬运设置于所述多个盒台内的一个上的盒内所收纳的晶片;锁定室,其配置于该大气搬运室的后方,在内部收纳从该大气搬运室搬运的所述晶片;第一真空搬运室,其与该锁定室的后方连结,在能够减压地构成的内部从所述锁定室搬运所述晶片;搬运中间室,其与所述第一真空搬运室的后方连结;第二真空搬运室,其与该搬运中间室的后方连结,在能够减压地构成的内部从所述搬运中间室搬运所述晶片;作为与所述第一真空搬运室的侧面连结的至少一个真空处理室、使用被供给到该室内的气体处理从所述第一真空搬运室搬运到室内的所述晶片的真空处理室;作为与所述第二真空搬运室的侧面连结的两个以上的真空处理室、使用被供给到该室内的气体处理从所述第二真空搬运室搬运到室内的所述晶片的真空处理室;多个第一真空搬运室用的阀,其配置在所述第一真空搬运室和所述锁定室、搬运中间室及与该第一真空搬运室连接的所述真空处理室的各个之间,用于开闭将它们之间连通的连通路;多个第二真空搬运室用的阀,其配置在所述第二真空搬运室和所述搬运中间室及与该第二真空搬运室连接的所述真空处理室之间,用于分别开闭将它们连通的连通路;在所述第一及第二真空搬运室的各自内部配置并具有多个臂、用于搬运所述晶片的第一和第二搬运机器人,
该真空处理装置的特征在于,将所述锁定室、所述真空处理室、所述第一真空搬运室、搬运中间室和第二真空搬运室连接了的真空侧模块能够构成为使这些室连通而内部被减压了的一个容器,所述真空处理装置具备控制装置,该控制装置调节成,所述多个第一和第二真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下开放或闭塞,
将从所述大气搬运室搬运到所述锁定室的所述晶片在所述真空处理室的任一个中,沿通过所述第一真空搬运室或通过所述第一真空搬运室、所述搬运中间室和所述第二真空搬运室的路径进行搬运处理后,经原路径返回所述锁定室,
所述控制装置调节成,在所述第一真空搬运室和所述第二真空搬运室之间的连通被闭塞的状态下并行地进行第一真空搬运室的搬运和第二真空搬运室的搬运,上述第一真空搬运室的搬运以如下的方式进行:包括配置在所述搬运中间室的所述第一真空搬运室侧的阀在内的所述多个第一真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下被开放,利用所述第一搬运机器人经开放了的所述连通路搬运了所述晶片后闭塞;上述第二真空搬运室的搬运以如下的方式进行:包括配置在所述搬运中间室的所述第二真空搬运室侧的阀在内的所述多个第二真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下被开放,利用所述第二搬运机器人经开放了的所述连通路搬运了所述晶片后闭塞。
2.如权利要求1所述的真空处理装置,其特征在于,所述控制装置在所述第一真空搬运室、搬运中间室和第二真空搬运室连通且被构成为内部被减压了的一个容器的状态下,能够实施所述多个第一和第二真空搬运室用的阀中仅有一个阀在其它的阀闭塞的期间开放、在搬运了所述晶片后闭塞的运转。
3.如权利要求1或2所述的真空处理装置,其特征在于,所述控制装置调节所述晶片的搬运,以使得由与所述第二真空搬运室连接的所述真空处理室处理的每单位时间的晶片个数比由与所述第一真空搬运室连接的所述真空处理室处理的每单位时间的晶片个数多。
4.一种真空处理装置的运转方法,所述真空处理装置具备:大气搬运室,其前面侧配置多个盒台,搬运设置于所述多个盒台内的一个上的盒内所收纳的晶片;锁定室,其配置于该大气搬运室的后方,在内部收纳从该大气搬运室搬运的所述晶片;第一真空搬运室,其与该锁定室的后方连结,在能够减压地构成的内部从所述锁定室搬运所述晶片;搬运中间室,其与所述第一真空搬运室的后方连结;第二真空搬运室,其与该搬运中间室的后方连结,在能够减压地构成的内部从所述搬运中间室搬运所述晶片;作为与所述第一真空搬运室的侧面连结的至少一个真空处理室、使用被供给到该室内的气体处理从所述第一真空搬运室搬运到室内的所述晶片的真空处理室;作为与所述第二真空搬运室的侧面连结的两个以上的真空处理室、使用被供给到该室内的气体处理从所述第二真空搬运室搬运到室内的所述晶片的真空处理室;多个第一真空搬运室用的阀,其配置在所述第一真空搬运室和所述锁定室、搬运中间室及与该第一真空搬运室连接的所述真空处理室的各个之间,用于开闭将它们之间的连通的连通路;多个第二真空搬运室用的阀,其配置在所述第二真空搬运室和所述搬运中间室及与该第二真空搬运室连接的所述真空处理室之间,用于分别开闭将它们连通的连通路;在所述第一及第二真空搬运室的各自内部配置并具有多个臂、用于搬运所述晶片的第一和第二搬运机器人,
将所述锁定室、所述真空处理室、所述第一真空搬运室、搬运中间室和第二真空搬运室连接了的真空侧模块能够构成为使这些室连通而内部被减压了的一个容器,所述真空处理装置具备控制装置,该控制装置调节成,所述多个第一和第二真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下开放或闭塞,
将从所述大气搬运室搬运到所述锁定室的所述晶片在所述真空处理室的任一个中,沿通过所述第一真空搬运室或通过所述第一真空搬运室、所述搬运中间室和所述第二真空搬运室的路径进行搬运处理后,经原路径返回所述锁定室,
所述真空处理装置的运转方法的特征在于,在所述第一真空搬运室和所述第二真空搬运室之间的连通被闭塞的状态下并行地进行第一真空搬运室的搬运和第二真空搬运室的搬运,上述第一真空搬运室的搬运以如下的方式进行:包括配置在所述搬运中间室的所述第一真空搬运室侧的阀在内的所述多个第一真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下被开放,利用所述第一搬运机器人经开放了的所述连通路搬运了所述晶片后闭塞;上述第二真空搬运室的搬运以如下的方式进行:包括配置在所述搬运中间室的所述第二真空搬运室侧的阀在内的所述多个第二真空搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下被开放,利用所述第二搬运机器人经开放了的所述连通路搬运了所述晶片后闭塞。
5.如权利要求4所述的真空处理装置的运转方法,其特征在于,所述控制装置在所述第一真空搬运室、搬运中间室和第二真空搬运室连通且被构成为内部被减压了的一个容器的状态下,能够实施所述多个第一和第二搬运室用的阀中仅有一个阀在其它的阀闭塞的状态下开放、在搬运了所述晶片后闭塞的运转。
CN201010268843.1A 2009-11-12 2010-08-27 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法 Active CN102064123B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009258491 2009-11-12
JP2009-258491 2009-11-12

Publications (2)

Publication Number Publication Date
CN102064123A CN102064123A (zh) 2011-05-18
CN102064123B true CN102064123B (zh) 2014-11-05

Family

ID=43974280

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010268843.1A Active CN102064123B (zh) 2009-11-12 2010-08-27 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法

Country Status (5)

Country Link
US (2) US9011065B2 (zh)
JP (1) JP5872153B2 (zh)
KR (2) KR101350872B1 (zh)
CN (1) CN102064123B (zh)
TW (2) TWI408766B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5476337B2 (ja) * 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP2013143413A (ja) * 2012-01-10 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
JP2013143513A (ja) * 2012-01-12 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
JP6110612B2 (ja) * 2012-07-19 2017-04-05 川崎重工業株式会社 基板搬送装置
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP2014195008A (ja) * 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置及び真空処理装置の運転方法
JP2015076458A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ 真空処理装置
JP6293499B2 (ja) * 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
JP6491891B2 (ja) * 2015-01-23 2019-03-27 株式会社日立ハイテクノロジーズ 真空処理装置
CN105887044A (zh) * 2016-05-25 2016-08-24 上海华力微电子有限公司 防止在沉积工艺的吹扫过程中对真空阀门档板污染的方法
KR102595638B1 (ko) * 2020-09-25 2023-10-31 주식회사 히타치하이테크 진공 처리 장치의 운전 방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPH0793348B2 (ja) * 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
JPH05259259A (ja) * 1992-03-11 1993-10-08 Hitachi Ltd 真空処理装置
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP2688555B2 (ja) * 1992-04-27 1997-12-10 株式会社日立製作所 マルチチャンバシステム
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US20040005211A1 (en) * 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
US8029226B2 (en) * 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4925650B2 (ja) * 2005-11-28 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7993461B2 (en) * 2007-05-30 2011-08-09 Intermolecular, Inc. Method and system for mask handling in high productivity chamber
JP2009065068A (ja) * 2007-09-10 2009-03-26 Tokyo Electron Ltd 基板処理装置、基板処理装置の汚染抑制方法及び記憶媒体
JP2009062604A (ja) * 2007-09-10 2009-03-26 Tokyo Electron Ltd 真空処理システムおよび基板搬送方法
DE112009001843T5 (de) * 2008-08-01 2011-06-22 Ulvac Corp., Kanagawa Verfahren zur Steuerung eines Überführungsroboters
US8731706B2 (en) * 2008-09-12 2014-05-20 Hitachi High-Technologies Corporation Vacuum processing apparatus
WO2010042577A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5384925B2 (ja) * 2008-12-18 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
JP2011119468A (ja) * 2009-12-03 2011-06-16 Tokyo Electron Ltd 被処理体の搬送方法および被処理体処理装置

Also Published As

Publication number Publication date
KR101350872B1 (ko) 2014-01-13
KR101329664B1 (ko) 2013-11-15
TW201342518A (zh) 2013-10-16
US20110110751A1 (en) 2011-05-12
TWI532114B (zh) 2016-05-01
US9011065B2 (en) 2015-04-21
TW201123339A (en) 2011-07-01
JP2011124564A (ja) 2011-06-23
TWI408766B (zh) 2013-09-11
KR20110052442A (ko) 2011-05-18
KR20120102562A (ko) 2012-09-18
JP5872153B2 (ja) 2016-03-01
CN102064123A (zh) 2011-05-18
US20150194327A1 (en) 2015-07-09

Similar Documents

Publication Publication Date Title
CN102064123B (zh) 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法
CN102064124A (zh) 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法
TWI509722B (zh) 處理半導體晶圓的裝置及方法
KR101888236B1 (ko) 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템
CN102569016B (zh) 真空处理装置
WO2013072760A2 (en) Semiconductor wafer handling and transport
US20140216658A1 (en) Vacuum processing device
CN102347256A (zh) 真空处理装置
CN104051295B (zh) 真空处理装置及其运转方法
US20110318143A1 (en) Vacuum processing apparatus
CN105575853B (zh) 基板处理系统和基板处理装置的时效方法
KR20140019028A (ko) 보관 장치와 보관 방법
CN106992132A (zh) 半导体制备和研发制造设施的资本设备上使用的检修隧道
KR20220139968A (ko) 반도체 가공 디바이스
JP2013077819A (ja) モジュール式半導体処理システム
US20240136213A1 (en) Modular pressurized workstation
TW202147486A (zh) 製造系統中的氧化抑制氣體
CN113644005A (zh) 一种半导体处理系统
KR101700607B1 (ko) 기판처리장치
CN212257352U (zh) 一种半导体处理系统
KR100934769B1 (ko) 기판 이송 시스템
CN117690842A (zh) 晶圆传输系统和半导体设备
KR20230063904A (ko) 인-챔버 웨이퍼 이송 로봇
KR20120117318A (ko) 기판처리장치
KR20180021337A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant