TW202147486A - 製造系統中的氧化抑制氣體 - Google Patents

製造系統中的氧化抑制氣體 Download PDF

Info

Publication number
TW202147486A
TW202147486A TW110105269A TW110105269A TW202147486A TW 202147486 A TW202147486 A TW 202147486A TW 110105269 A TW110105269 A TW 110105269A TW 110105269 A TW110105269 A TW 110105269A TW 202147486 A TW202147486 A TW 202147486A
Authority
TW
Taiwan
Prior art keywords
chamber
gas
oxidation
inhibiting gas
inhibiting
Prior art date
Application number
TW110105269A
Other languages
English (en)
Other versions
TWI819274B (zh
Inventor
穆拉里 納拉辛漢
派翠克 帕內斯
古納 珍
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202147486A publication Critical patent/TW202147486A/zh
Application granted granted Critical
Publication of TWI819274B publication Critical patent/TWI819274B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/035Controlling ratio of two or more flows of fluid or fluent material with auxiliary non-electric power
    • G05D11/08Controlling ratio of two or more flows of fluid or fluent material with auxiliary non-electric power by sensing concentration of mixture, e.g. measuring pH value
    • G05D11/10Controlling ratio of two or more flows of fluid or fluent material with auxiliary non-electric power by sensing concentration of mixture, e.g. measuring pH value by sensing moisture of non-aqueous liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

方法包括使包含氧化抑制氣體的氣體流入半導體處理系統的腔室。腔室包括半導體處理系統的工作介面或裝設於工作介面的鄰接腔室中的一或更多者。方法進一步包括利用耦接腔室的一或更多感測器接收感測資料,資料指示腔室內的當前氧位準或腔室內的當前水分位準中的至少一者。方法進一步包括依據感測資料決定是否對進入腔室的當前氧化抑制氣體量進行調整。方法進一步包括響應決定進行調整,致使進入腔室的當前氧化抑制氣體量調整。

Description

製造系統中的氧化抑制氣體
本發明的實施例係關於製造系統,例如晶圓處理系統,且特別係關於製造系統中的氧化抑制氣體。
在半導體處理與其他電子業處理中,諸如晶圓等物件時常在系統的各區部間傳送。系統的不同區部包括存放區、傳送區、處理區等。
以下為簡化摘要本發明,以提供對本發明一些態樣的基本瞭解。此摘要並非本發明的詳盡綜述。其不擬決定本發明的關鍵或重要元件,也無意描繪本發明特定實施方式的任何範圍或申請專利範圍的任何範圍。唯一目的乃以簡化形式呈現本發明的一些概念做為後來提出更詳細的實施方式序言。
在本發明的一態樣中,方法包括使包含氧化抑制氣體的氣體流入半導體處理系統的腔室。腔室包括半導體處理系統的工作介面或裝設於工作介面的鄰接腔室中的一或更多者。方法進一步包括利用耦接腔室的一或更多感測器接收感測資料,資料指示腔室內的當前氧位準或腔室內的當前水分位準中的至少一者。方法進一步包括依據感測資料決定是否對進入腔室的當前氧化抑制氣體量進行調整。方法進一步包括響應決定進行調整,致使進入腔室的當前氧化抑制氣體量調整。
在本發明的另一態樣中,儲存指令的非暫態機器可讀取儲存媒體於執行時將促使處理裝置進行操作,操作包括使包含氧化抑制氣體的氣體流入半導體處理系統的腔室。腔室包括半導體處理系統的工作介面或裝設於工作介面的鄰接腔室中的一或更多者。操作進一步包括利用耦接腔室的一或更多感測器接收感測資料,資料指示腔室內的當前氧位準或腔室內的當前水分位準中的至少一者。操作進一步包括依據感測資料決定是否對進入腔室的當前氧化抑制氣體量進行調整。操作進一步包括響應決定進行調整,致使進入腔室的當前氧化抑制氣體量調整。
在本發明的又一態樣中,半導體處理系統包括腔室,腔室包括氧化抑制氣體入口並配置以接收氧化抑制氣體至腔室內。腔室包括工作介面或裝設於工作介面的鄰接腔室中的一或更多者。半導體處理系統進一步包括耦接腔室的一或更多感測器。一或更多感測器配置以提供指示腔室內當前氧位準或腔室內當前水分位準中的至少一者的感測資料。半導體處理系統進一步包括處理裝置,處理裝置依據感測資料調整進入腔室的當前氧化抑制氣體量。
本文所述實施例係關於製造系統中的氧化抑制氣體。在製造系統中,例如半導體製造系統,物件(例如晶圓)在製造系統的不同區部間傳送。製造系統的區部包括工作介面、封入系統(例如基材封入系統、前開式晶圓傳送盒(FOUP))、裝載鎖定系統、裝載埠、存放區、冷卻站、移送腔室、處理腔室、計量站等中的一或更多者。氧和水分進入製造系統的區部(例如藉由開關製造系統區部、經由洩漏、透過通向大氣等)。
一些物件易氧化。例如,金屬膜、處理物件和加熱物件在存有氧及/或水分下易氧化。氧化會影響物件效能。在習知系統中,待在存放區(例如FOUP、存放區等)較久的物件比待在存放區較短時間的物件經受更多氧化。例如,在習知系統中,最後一個傳出FOUP的晶圓(例如待在FOUP的時間比其他晶圓久)及/或處理後傳送到FOUP的第一晶圓(例如,處理後待在FOUP的時間比其他晶圓久)比其他晶圓經受更多氧化,此將造成晶圓效能改變。晶圓效能改變將導致同型產品有不同壽期、不同故障等情況。在習知系統中,為減少氧化,可使高溫物件(例如處理後)冷卻(例如藉由把物件放到冷卻站、延後將物件移出處理腔室及/或移送腔室),然此會增長物件製造時間及降低產量。
本文所述裝置、系統和方法提供製造系統中的氧化抑制氣體。在一些實施例中,氧化抑制氣體為氫及/或氨。氧化抑制氣體提供至製造系統的腔室。腔室包括製造系統的工作介面或裝設於工作介面的鄰接腔室(例如FOUP、裝載鎖定系統、存放區、冷卻站、計量站等)中的一或更多者。在一些實施例中,流入腔室的氣體為至多5%的氧化抑制氣體(例如氫、氨)。在一些實施例中,流入腔室的氣體亦包括鈍氣,例如氮、氬、氖、氦、氪或氙中的一或更多者。在一些實施例中,流入腔室的氣體包括至多5%的氧化抑制氣體,流入腔室的氣體剩餘部分為鈍氣(例如96%的氮與4%的氫)。
提供氧化抑制氣體至製造系統可減少物件在製造系統氧化。例如,結合至多5%的氫和鈍氣(例如氮)可產生減少物件氧化的形成氣體。在一些實施例中,氫與氧反應形成水分子,水分子再自腔室移除而集除氧。
處理裝置促使氣體流入腔室,又處理裝置利用一或更多感測器接收感測資料。在一些實施例中,感測資料指示腔室內的當前氧位準或當前水分位準中的至少一者。腔室內的當前氧位準及/或當前水分位準受腔室開關、腔室洩漏等影響而改變。處理裝置依據感測資料決定是否對進入腔室的當前氧化抑制氣體量進行調整,並響應決定進行調整而致使調整。在一些實施例中,處理裝置獨立於進入腔室的鈍氣量來調整進入腔室的當前氧化抑制氣體量(例如獨立於鈍氣流率來增加進入腔室的氫流率、將流入腔室的氣體從4%氫與96%鈍氣調整成4.5%氫與95.5%鈍氣等)。在一些實施例中,處理裝置以相同速率調整進入腔室的當前氧化抑制氣體量和進入腔室的當前鈍氣量(例如流入腔室的氣體包括設定百分比的氫和鈍氣,及增加或減少整體流入腔室的氣體)。在一些實施例中,響應當前氧位準及/或當前水分位準低於閥值量,處理裝置將減少進入腔室的氧化抑制氣體量。在一些實施例中,響應當前氧位準及/或當前水分位準高於閥值量,處理裝置將增加進入腔室的氧化抑制氣體量。在一些實施例中,處理裝置基於當前氧及/或水分位準以外的原因調整進入腔室的當前氧化抑制氣體量,例如判斷腔室已打開或關閉、接收指示溫度的感測資料(例如晶圓、腔室內部等)、測定晶圓傳送動作等。在一些實施例中,處理裝置調整進入製造系統的多個腔室的當前氣體量(例如以相同速率、以不同速率)。在一些實施例中,流入腔室的至少部分氣體在腔室再循環,調整進入腔室的氧化抑制氣體量包括將非再循環氧化抑制氣體輸入腔室(例如並排放腔室內的部分氣體)。
本文所述裝置、系統和方法優於習知解決方案。優點包括相較於習知系統,可減少物件在腔室中氧化。優點另包括比起習知系統,在存放區待置不同時間的物件效能差異較小(例如因較少氧化)。優點更包括可在比習知系統高的溫度下將物件傳送到工作介面及/或鄰接腔室,從而縮短物件製造時間及提高產量。
第1圖圖示根據某些實施例的處理系統100(例如晶圓處理系統、製造系統)。處理系統100包括工作介面101(例如設備前端模組(EFEM))。處理系統100進一步包括附加腔室(例如鄰接腔室),腔室耦接(例如裝設、附接、物理連接等)至工作介面101。在一些實施例中,處理系統100的腔室包括工作介面101和耦接工作介面101的封入系統102(例如基材封入系統、FOUP)、裝載埠128、裝載鎖定系統104(例如除氣室、裝載鎖定室)、存放區120(例如側儲艙(SSP)或基材支撐基座(SSP))、冷卻站122(例如用於冷卻內容物110,例如已在一或更多處理腔室107中處理的基材)、計量站124(例如用於測量內容物110,例如已在一或更多處理腔室107中處理的基材)等中的一或更多者。在一些實施例中,處理系統100包括多個裝載埠128,封入系統102拆卸式與之耦接,以將晶圓及/或其他基材傳送進出處理系統100。例如,封入系統102A停靠裝載埠128、離開裝載埠128,接著封入系統102B停靠裝載埠128。封入系統102用於將內容物110(例如處理套環、晶圓、基材、物件、載具等)傳送進出處理系統100。
在一些實施例中,裝載埠128包括構成垂直開口的前置介面,裝載埠128具有水平表面。封入系統102具有構成垂直開口的前置介面。封入系統102的前置介面尺寸恰與裝載埠128的前置介面接合(密封)(例如,封入系統102的垂直開口尺寸約等於裝載埠128的垂直開口)。封入系統102設置在裝載埠128的水平表面。封入系統102的垂直開口對齊裝載埠128的垂直開口。封入系統102的前置介面與裝載埠128的前置介面互接(例如夾鉗、固定、密封)。封入系統102的底板(例如基板)具有特徵結構(例如裝載特徵結構(例如凹部或容座,用以嚙合裝載埠動力銷特徵結構)、裝載埠基準銷空隙及/或FOUP擴充盤閂扣夾鉗特徵結構),用以嚙合裝載埠128的水平表面。
封入系統102包括一或更多項內容物110(例如一或更多處理套環、空置處理套環載具、置於處理套環載具上的處理套環、放置驗證晶圓、晶圓、基材等)。封入系統102耦接工作介面101(例如經由裝載埠128),而可將晶圓自動傳送到處理系統100來處理晶圓。
在一些實施例中,處理系統100包括第一真空埠103a、103b,用以耦接工作介面101與各裝載鎖定系統104a、104b(例如除氣室)。第二真空埠105a、105b耦接各裝載鎖定系統104a、104b(例如除氣室)且設置在裝載鎖定系統104a、104b與移送腔室106之間,以助於將晶圓和內容物110(例如晶圓)傳送到移送腔室106。在一些實施例中,處理系統100包括及/或使用一或更多裝載鎖定系統104和對應數量的真空埠103、105(例如,處理系統100包括單一裝載鎖定系統104、單一第一真空埠103和單一第二真空埠105)。移送腔室106包括置於附近並與之耦接的處理腔室107(例如四個處理腔室107、六個處理腔室107等)。處理腔室107經由各埠口108耦接移送腔室106,例如六個閥等。在一些實施例中,工作介面101處於高壓(例如實質等於或大於大氣壓),移送腔室106處於低壓(例如真空或大氣壓)。在一些實施例中,工作介面101處於第一壓力(例如實質等於或大於大氣壓),移送腔室106處於第二壓力(例如真空或大氣壓)。在一些實施例中,工作介面101(例如大氣工作介面)處於實質等於或大於大氣壓的第一壓力,移送腔室106(例如大氣移送腔室)處於實質等於或大於大氣壓的第二壓力(例如實質等於第一壓力、小於第一壓力、大於第一壓力)。
在一些實施例中,處理系統100係大氣平臺(例如大氣系統,處理系統100的一或更多區部處於大氣壓或以上)。在一些實施例中,大氣平臺(例如大氣系統)內的環境呈惰性或為形成氣體,以維持整個處理流程的晶圓環境。
每一裝載鎖定系統104具有密閉隔開裝載鎖定系統104與工作介面101的第一門(例如第一真空埠103)和密閉隔開裝載鎖定系統104與移送腔室106的第二門(例如第二真空埠105)。第一門打開及第二門關閉時,內容物從工作介面101傳送到裝載鎖定系統104,然後第一門關閉,裝載鎖定系統104內的壓力減低以匹配移送腔室106,接著第二門打開,內容物傳出裝載鎖定系統104。在一些實施例中,區域中心找尋(LCF)裝置及/或其他對準裝置用於對準移送腔室106的內容物(例如進入處理腔室107前、離開處理腔室107後、進入裝載鎖定系統104前、離開裝載鎖定系統104後等)。在一些實施例中,LCF裝置及/或對準裝置設置在移送腔室106。在一些實施例中,LCF裝置及/或對準裝置設置在工作介面101。在一些實施例中,LCF裝置及/或對準裝置設置在工作介面101,又LCF裝置及/或對準裝置設置在移送腔室106。
在一些實施例中,處理腔室107包括蝕刻腔室、沉積腔室(包括原子層沉積、化學氣相沉積、物理氣相沉積或上述電漿加強型腔室)、退火腔室等中的一或更多者。
工作介面101包括工作介面機器人111。在一些實施例中,工作介面機器人111包括機械臂,且為或包括選擇順應性裝配機械臂(SCARA)機器人,例如2節SCARA機器人、3節SCARA機器人、4節SCARA機器人等。工作介面機器人111於機械臂一端包括端效器。在一些實施例中,端效器配置以拾取及搬運特定物件,例如晶圓。在一些實施例中,端效器配置以搬運諸如校準基材與處理套環(邊緣環)等物件。在一些實施例中,端效器配置以掃描物件(例如自動示教銷、校準銷等)。機械臂具有一或更多連桿或構件(例如腕部構件、上臂構件、前臂構件等)並配置以移動而將端效器朝不同位向移動到不同位置。
工作介面機器人111配置以在封入系統102(例如FOUP)、工作介面101、存放區120、冷卻站122與裝載鎖定系統104a、104b間傳送內容物110。
移送腔室106包括移送腔室機器人112。移送腔室機器人112包括機械臂,機械臂一端具有端效器。端效器配置以搬運特定物件,例如晶圓。在一些實施例中,移送腔室機器人112係SCARA機器人,但在一些實施例中,具有比工作介面機器人111更少的連桿及/或更小的自由度。
控制器109控制處理系統100的各種態樣。在一些實施例中,控制器109為及/或包括運算裝置,例如個人電腦、伺服電腦、可程式邏輯控制器(PLC)、微控制器等。在一些實施例中,控制器109包括一或更多處理裝置,例如微處理器、中央處理單元等通用處理裝置。在一些實施例中,處理裝置係複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字組(VLIW)微處理器、或實現其他指令集的處理器或實現指令集組合的處理器。在一些實施例中,處理裝置係一或更多專用處理裝置,例如專用積體電路(ASIC)、場可程式閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等。在一些實施例中,控制器109包括資料儲存裝置(例如一或更多磁碟機及/或固態驅動機)、主記憶體、靜態記憶體、網路介面及/或其他部件。在一些實施例中,控制器109執行指令以進行所述任一或更多方法或製程。在一些實施例中,指令儲存在電腦可讀儲存媒體,包括主記憶體、靜態記憶體、輔助儲存器及/或處理裝置(在指令執行期間)。在實施例中,控制器109接收及發送訊號來控制工作介面機器人111和晶圓移送腔室機器人112。在一些實施例中,控制器109促使包括氧化抑制氣體的氣體流入處理系統100的一或更多區部、接收感測資料(例如指示當前氧及/或水分位準、指示打開或關閉腔室等),及依據感測資料調整進入部分處理系統100的當前氧化抑制氣體量。
第1圖圖示將內容物110(例如晶圓)傳送到處理腔室107。根據本發明的一態樣,內容物110由位於工作介面101的工作介面機器人111移出封入系統102。工作介面機器人111將內容物110傳送通過第一真空埠103a、103b之一而送入各裝載鎖定系統104a、104b。位於移送腔室106的移送腔室機器人112通過第二真空埠105a或105b將內容物110移出裝載鎖定系統104a、104b之一。移送腔室機器人112將內容物110移入移送腔室106,在此內容物110經由各埠口108傳送到處理腔室107。在一些實施例中,傳送內容物110包括傳送置於處理套環載具上的物件(例如晶圓、處理套環等)、傳送空置處理套環載具、傳送放置驗證晶圓等。
第1圖圖示傳送內容物110一例。在一些實施例中,採行其他傳送內容物110的實例。例如,在一些實施例中,封入系統102耦接移送腔室106(例如經由移送腔室106的裝載埠)。內容物110由移送腔室機器人112從移送腔室106裝載至處理腔室107。此外,在一些實施例中,內容物110裝載至存放區120。在一些實施例中,二或更多存放區120(SSP)設置連通工作介面101(例如第一SSP在第二SSP對面)。在一些實施例中,已處理內容物110(例如已處理晶圓、舊處理套環)以所述任一相反方式移出處理系統100。採用多個封入系統102或結合封入系統102與存放區120時,在一些實施例中,存放區120或封入系統102A用於未處理內容物110(例如待處理晶圓、新處理套環),另一存放區120或封入系統102B用於接收已處理內容物110(例如已處理晶圓、舊處理套環)。
第2A圖圖示根據某些實施例,處理系統200A(例如第1圖的處理系統100)的截面圖。第2B圖圖示根據某些實施例,處理系統200B(例如第1圖的處理系統100)的截面圖。在一些實施例中,處理系統200A與200B係相同處理系統200。
處理系統200包括工作介面201(例如第1圖的工作介面101)。處理系統200包括耦接工作介面201的腔室。例如,工作介面201耦接封入系統202(例如基材封入系統、第1圖的封入系統102)、裝載埠228(例如第1圖的裝載埠128)、裝載鎖定系統204(例如第1圖的裝載鎖定系統104a及/或104b)、移送腔室206(例如第1圖的移送腔室106)及/或處理腔室107(例如第1圖的處理腔室107)中的一或更多者。工作介面201包括機械臂211(例如第1圖的工作介面機器人111),移送腔室206包括機械臂212(例如第1圖的移送腔室機器人112)。處理系統200的一或更多區部放在打開位置或關閉位置(例如密封位置)。氣流提供進及/或出處理系統200的一或更多區部(例如依據感測資料,響應處在打開位置、響應處在關閉位置、響應處在打開與關閉位置間的過渡位置,及/或經由埠口240)。
封入系統202處在關閉位置以響應門230耦接(例如密封)封入系統202。
裝載埠228配置以放在關閉位置。例如,門載台232耦接(例如密封)裝載埠228的第一區部和封入系統202,及/或門230耦接(例如密封)裝載埠228的第二區部。在一些實施例中,門載台232配置以將門230放在關閉位置與打開位置(例如,門載台232配置以將門230移出封入系統202,及把門230固定於封入系統202)。
裝載鎖定系統204處在關閉位置以響應門203、205密封裝載鎖定系統204。在一些實施例中,裝載鎖定系統204具有多個裝載鎖定室236,每一裝載鎖定室236具有對應門203、205。
處理腔室207處在關閉位置以響應門234耦接(例如密封)處理腔室207。
工作介面201位在關閉位置以響應門載台232(或門230)及門203處於關閉位置。移送腔室206位在關閉位置以響應門205及門234處於關閉位置。
響應門載台232及/或門230處在打開位置(例如參見第2B圖),機械臂211將內容物(例如晶圓)從封入系統202傳送到處理系統200的不同區部(例如工作介面201、裝載鎖定系統204、存放區、冷卻站、計量站等)。響應門234處在打開位置(例如參見第2B圖),機械臂212將內容物(例如晶圓)從處理腔室207傳送到處理系統200的另一區部(例如移送腔室206、裝載鎖定系統204等)。
處理系統200的一或更多區部包括一或更多對應埠口240(例如入口、出口等)。一或更多流動裝置(例如再循環泵、排放泵、插入泵、閥等)耦接埠口240。
在一些實施例中,處理裝置(例如第1圖的控制器109)促使氣流(例如供應非再循環氣體、供應再循環氣體、排放氣體等)通過埠口240。處理裝置接收感測資料(例如氧感測器、水分感測器、門啟動感測器、溫度感測器等),及依據感測資料促使氣流通過一或更多埠口240。處理裝置致使進入部分處理系統200的當前氧化抑制氣體量及/或鈍氣量調整。在一些實施例中,處理裝置致使當前氧化抑制氣體量和當前鈍氣量彼此獨立或以相同速率調整。在一些實施例中,氧化抑制氣體經由第一埠口240A輸入部分處理系統200,鈍氣經由第二埠口240B輸入部分處理系統200。在一些實施例中,氧化抑制氣體和鈍氣提供通過相同埠口240(例如氧化抑制氣體與鈍氣混合物提供通過相同埠口240)。
第3A圖至第3D圖圖示根據某些實施例,處理系統300(例如第1圖的處理系統100、第2A~B圖的處理系統200A~B)的腔室302A~D的截面圖。在一些實施例中,腔室302係封入系統(例如FOUP、第1圖的封入系統102、第2A~B圖的封入系統202等)、裝載埠(例如第1圖的裝載埠128、第2A~B圖的裝載埠228)、工作介面(例如第1圖的工作介面101、第2A~B圖的工作介面201)、裝載鎖定系統(例如第1圖的裝載鎖定系統104、第2A~B圖的裝載鎖定系統204)、存放區(例如SSP、第1圖的存放區120)、冷卻站(例如第1圖的冷卻站122)、計量站(例如第1圖的計量站124)等中的一或更多者。在一些實施例中,腔室302係處理腔室、移送腔室或處理系統300(例如半導體處理系統)的其他腔室。
腔室302包括側壁304(例如頂部、底部、後面、前面、第一側、第二側等側壁)和一或更多門306。側壁304和一或更多門306圍住內部體積308。腔室302包括一或更多埠口310(例如入口、出口等),埠口從內部體積308穿過一或更多側壁304而至腔室302外部。在一些實施例中,腔室302於一或更多埠口310處包括一或更多閥(例如防回流閥、節流閥等)。
一或更多感測器312耦接腔室302。在一些實施例中,一或更多感測器312設置在腔室302內(例如內部體積308)。在一些實施例中,一或更多感測器312經由一或更多埠口310耦接腔室(例如埠口310可讓氣體從內部體積308流向設置在內部體積308外的感測器312)。在一些實施例中,感測器312包括配置以指示當前氧位準的氧感測器、配置以指示當前水分位準的水分感測器、配置以指示當前氣體量的氣體感測器(例如氧化抑制氣體、氫、氨、鈍氣等中的一或更多者)、配置以指示內部體積308相關當前溫度的溫度感測器、配置以指示內部體積308相關當前壓力的壓力感測器、配置以指示腔室302相關當前流率的流率感測器、配置以指示門306狀態的啟動感測器(例如打開、關閉、開關間的過渡狀態等)等中的一或更多者。
在一些實施例中,處理系統300包括再循環裝置320(例如再循環泵、再循環風扇、再循環濾器等)、排放裝置322(例如排放泵)和一或更多供應裝置324(例如入口閥、輸入泵等)。處理裝置(例如第1圖的控制器109、處理裝置、伺服裝置、處理邏輯等)控制再循環裝置320、排放裝置322或供應裝置324中的一或更多者(例如依據感測器312的感測資料)。
再循環裝置320使氣體在內部體積308內再循環。在一些實施例中,再循環裝置320經由再循環管道330將氣體從內部體積308抽出通過第一埠口310(例如出口),並通過第二埠口310(例如入口,相對第一埠口310)將氣體輸入內部體積。在一些實施例中,再循環裝置320(例如再循環風扇)藉由在內部體積308內混合氣體,使氣體在內部體積308內再循環(例如無氣體離開內部體積308)。
在一些實施例中,處理系統300包括排放裝置322,使氣體經由排放管道332排出內部容積308。在一些實施例中,排放裝置322藉由連接排放管道332的埠口310抽出氣體。
在一些實施例中,處理系統300包括一或更多供應裝置324,供應裝置經由輸入管道334耦接一或更多氣體供應器340。在一些實施例中,每一氣體供應器340提供一或更多氧化抑制氣體(例如氫、氨等)或鈍氣(例如氮、氬、氖、氦、氪、氙等)給腔室302而至內部體積308。在一實例中,氣體供應器340A提供腔室302至多5%的氫,氣體供應器340B提供剩餘部分(例如95%至100%)的氮。在一些實施例中,內部體積308包括氣體混合物(例如形成氣體),此為至多5%的氧化抑制氣體(例如氫)。在一些實施例中,耦接一或更多供應裝置324的一或更多埠口310提供內部體積308至多5%的氧化抑制氣體(例如氫)。
在一些實施例中,供應裝置324經由輸入管道334(例如輸入管道334的第一區部在氣體供應器340與供應裝置324間運行,輸入管道334的第二區部在供應裝置324與埠口310間運行)耦接埠口310(例如入口、配置以接收氧化抑制氣體至腔室302的氧化抑制氣體入口、配置以接收鈍氣至腔室302的鈍氣入口等)。在一些實施例中,供應裝置324為泵並配置以將氣體從氣體供應器340泵送至內部體積308。在一些實施例中,供應裝置324為閥(例如加壓氣體供應器340的氣體)並配置以啟動(例如打開)而提供氣體至內部體積308。
第3A圖至第3D圖圖示兩個氣體供應器340A~B。在一些實施例中,只有一個氣體供應器340(例如鈍氣與氧化抑制氣體混合物、僅氧化抑制氣體等)。在一些實施例中,有兩個以上的氣體供應器340。
處理裝置提供包括氧化抑制氣體(例如至多5%的氣流為氧化抑制氣體)的氣流(例如利用再循環裝置320、供應裝置324)至腔室302。處理裝置利用一或更多感測器312接收感測資料。感測資料指示腔室302內的當前氧位準、腔室302內的當前水分位準、門306的狀態、腔室302相關溫度等中的一或更多者。處理裝置依據感測資料決定是否對進入腔室302的當前氧化抑制氣體量進行調整。處理裝置響應決定進行調整,致使進入腔室302的當前氧化抑制氣體量調整。在一些實施例中,處理裝置藉由控制(例如啟動)耦接氣體供應器340(例如氧化抑制氣體供應器)的供應裝置324而致使調整。在一些實施例中,處理裝置進一步控制(例如操作)排放裝置322(例如排放腔室302中的再循環混合氣體及輸入非再循環氧化抑制氣體至腔室302)。腔室302配置以在第一條件下(例如腔室302傳送、在腔室302內處理基材)相對鄰接腔室(例如工作介面)放在關閉位置,及/或在第二條件下(例如將基材從腔室302傳送到鄰接腔室)相對鄰接腔室(例如工作介面)放在打開位置。
在一些實施例中,處理裝置促使氣體流動包括使現有氣體從腔室再循環回腔室,處理裝置致使進入腔室的當前氧化抑制氣體量調整包括改變非再循環氧化抑制氣體進入腔室的第一速率。在一些實施例中,非再循環氧化抑制氣體進入腔室的第一速率獨立於非再循環鈍氣進入腔室的第二速率改變。在一些實施例中,處理裝置以和調整進入腔室的當前氧化抑制氣體量一樣的速率調整進入腔室的第二鈍氣量。在一些實施例中,處理裝置響應判斷鄰接腔室已相對工作介面從關閉位置變成打開位置,致使第二次調整進入鄰接腔室的當前氧化抑制氣體量。
處理裝置促使氧化抑制氣體(例如至多5%的氫)與鈍氣(例如氮,例如N2 )結合而在腔室302的密封環境(例如密封工作介面、密封FOUP、密封裝載鎖定系統、密封裝載埠、密封冷卻站、密封存放區、密封計量站等)產生形成氣體。在一些實施例中,形成氣體係氫與氮混合物。氧化抑制氣體及/或形成氣體可提高裝置效能與產率(DPY)。在一些習知系統中,基材會在工作介面及/或鄰接工作介面的腔室氧化,高溫基材的氧化速率更快,故傳統上基材在傳送到工作介面和鄰接腔室前會先冷卻,以減少氧化,然此將降低DPY。腔室302中的氧化抑制氣體及/或形成氣體可使高溫基材在未氧化下傳送到腔室302(例如工作介面、封入系統等),從而提高DPY。基材於處理前及/或處理後進入腔室302。
在一些實施例中,處理裝置控制腔室302的氧化抑制氣體與鈍氣比率。在一些實施例中,處理裝置控制腔室302相關氧化抑制氣體及/或鈍氣量(例如進入、再循環、供應、排放等),藉以控制腔室302的氧及/或水分量。
在一些實施例中,處理裝置促使氧化抑制氣體(例如至多100%的氧化抑制氣體)輸入腔室302。在一些實施例中,處理裝置促使氧化抑制氣體(例如至多5%的氧化抑制氣體)和鈍氣(例如稀有氣體、載氣、非反應氣體、具三鍵的鈍氣等)輸入腔室302。在一些實施例中,處理裝置促使氧化抑制氣體和壓縮乾空氣(CDA)輸入腔室302。
在一些實施例中,腔室302的內部體積308相對腔室外部維持呈正壓。在一些實施例中,洩漏在開門、密封構件等時發生。在一些實施例中,控制裝置119提供大於洩漏率及排放率的氣流(例如利用排放裝置322)。例如,最初(例如腔室302啟動時)提供更大量氣流至腔室302(例如每分鐘500公升(L/min)),以沖掉腔室302的大氣空氣(例如沖掉氧、沖掉大氣污染物)。一旦氧及/或水分位準低於閥值量(例如100~300 ppm的氧及/或水分、1~5 ppm的氧及/或水分等),便提供少量氣流至腔室302(例如50~80 L/min、100~125 L/min),此大於洩漏率(例如40~80 L/min)和排放率(例如5%~10%的氣流)。處理裝置接收感測器312的感測資料,及依據腔室302的氧及/或水分量動態改變氣體流率(例如氧化抑制氣體、氧化抑制氣體與鈍氣等)。例如,當氧及/或水分位準降低時,氧化抑制氣體流率降低;當氧及/或水分位準提高時(例如多個氧化抑制氣體流率,其中各氧化抑制氣體流率相當於氧及/或水分的對應閥值位準)。
腔室302相關(例如在腔室302中、進入腔室)氧化抑制氣體量(例如氫、氨)為進入腔室302的氣流百分比。在一些實施例中,氣流包括氧化抑制氣體和鈍氣(例如氣流只包括氧化抑制氣體和鈍氣)。在一些實施例中,氧化抑制氣體量(例如在進入腔室302的氣流中、在腔室中)為小於氣體混合物中總氣體量的5%(例如0~5%)。在一實例中,若進入腔室302的氣流為50 L/min,在一些實施例中,進入腔室302的氧化抑制氣體量為2.5 L/min,進入腔室302的鈍氣量為47.5 L/min。在一些實施例中,氧化抑制氣體量為0.5%~5%。在一些實施例中,氧化抑制氣體量為2.5%~5%、或1%~4%、或3%~5%、或3.5%~5%、或2%~10%、或5%~10%、或0.5%~2.5%、或0.5%~4%或佔氣體混合物中總氣體量的其他百分比。在一些實施例中,氧化抑制氣體量為大於0%至100%。
氧化抑制氣體進行氧及/或水分集除。進入腔室302的氧及/或水分(例如透過洩漏、開門等)藉由氧化抑制氣體與氧及/或水分反應而集除,所得產物(例如水分子)為惰性且經掃除以抑制氧化順勢反應。氧化抑制氣體產生還原環境而把氧推回,以防止基材與氧反應。在一些實施例中,響應包括氧化抑制氣體的氣流,腔室302中的基材不接觸氧及/或水分(例如未氧化)。
在一些實施例中,腔室302包括風扇過濾單元,促使腔室302內的氣體流動(例如促使氣體從腔室302的頂部流到腔室302的底部),使內部體積308中的基材接觸氧化抑制氣體。在一些實施例中,進入內部體積308的氣流係在一或更多第一位置,離開內部體積308的氣流係在一或更多第二位置(例如相對一或更多第一位置中的至少一者),使得內部體積308的基材接觸氣流(例如氧化抑制氣體)。
在一些實施例中,腔室302包括一或更多節流閥(例如於一或更多埠口310處),以依據腔室302維持的壓力量,動態控制氣體流入及/或排出內部體積308。
參照第3A圖,在一些實施例中,處理系統300A具有對應用於各氣體供應器340的供應裝置324和埠口310。在一些實施例中,供應裝置324為個別控制(例如進入內部體積308的氧化抑制氣體量獨立於進入內部體積308的鈍氣量改變)。在一些實施例中,供應裝置324為同時控制(例如進入內部體積308的鈍氣量和氧化抑制氣體量依相同速率改變)。在處理系統300A中,排放裝置322耦接對應埠口310(例如排放出口)。
參照第3B圖,在一些實施例中,處理系統300B利用供應裝置324混合出自二或更多氣體供應器340的氣體,混合氣體經由單一埠口310(例如混合氣體入口)輸入。在一些實例中,單獨輸入管道334從各氣體供應器340循徑至供應裝置324,共同輸入管道334從供應裝置324循徑至埠口310。在一些實施例中,供應裝置324包括用於各氣體供應器的泵及/或閥和混合腔室(例如設置在泵及/或閥與埠口310之間)。在一些實施例中,供應裝置324的泵及/或閥為個別控制。在一些實施例中,供應裝置324的泵及/或閥為同時控制。
參照第3C圖至第3D圖,在一些實施例中,輸入管道334及/或排放管道332耦接再循環管道330。在一些實施例中,出自一或更多氣體供應器340的新氣體經由再循環管道330和耦接再循環管道330的埠口310提供至內部腔室。在一些實施例中,排放氣體自再循環管道330移除。
第4A圖至第4B圖圖示根據某些實施例,封入系統402A~B(例如密封封入系統、第1圖的封入系統102、第2圖的封入系統202)的透視圖。在一些實施例中,第4A~B圖對封入系統402A~B的敘述適用處理系統的其他腔室(例如工作介面、裝載鎖定系統、裝載埠、冷卻站、存放區、移送腔室、處理腔室、計量站等)。
封入系統402包括門404(例如第2圖的門230)並耦接主體406而形成內部腔體來容納內容物(例如基材、晶圓、玻璃板等)。門404可選擇性移除(例如打開)以進出主體406內腔體,一或更多項內容物存放於此。門404選擇性附接主體406,以抵著主體406氣密密封及/或真空密封門404。在一些實施例中,門404及/或主體406包括彈性密封構件408(例如O型環),用以抵著主體406的密封表面410緊壓及密封門404。在一些實施例中,採用一或更多用於相對主體406密封門404的其他構造。
在一些實施例中,基材支撐特徵結構(例如凹架或狹槽)用於將各基材牢固支托在主體406內。在一些實施例中,一或更多其他支撐、夾鉗、扣定或類似特徵結構用於將各基材固定在主體406內。
在一些實施例中,主體406包括從主體406朝徑向往外延伸的外凸緣412。在一些實施例中,外凸緣為系統部件的對應表面特徵結構提供密封表面414讓封入系統202接合,例如裝載埠、裝載鎖定系統或其他位置。在一些實施例中,密封表面414包括彈性密封構件416(例如O型環,整體密封結合至密封表面414),用以產生實質氣密及/或真空密封。在一些實施例中,部件供封入系統202停靠的對應表面特徵結構(例如裝載埠、裝載鎖定系統或其他位置)包括密封構件。在一些實施例中,外凸緣412位於主體406的中間區域(例如第4A圖)。在一些實施例中,外凸緣412位於沿著主體406長度的任一處。在一些實施例中,外凸緣412位於載具前面或附近(例如接近門404,參見第4B圖)。在一些實施例中,封入系統402不包括外凸緣412,系統部件供封入系統402停靠的表面特徵結構(例如裝載埠、裝載鎖定系統或其他位置)直接密封抵著封入系統402的表面,例如沿著主體406的正面密封表面410,或在沿著主體406的任何其他位置,例如環繞外圍表面。
封入系統402包括一或更多埠口440(例如第2A~B圖的埠口240、第3A~D圖的埠口310),用以排空及/或用氣體填充封入系統202,例如鈍氣、非反應氣體及/或氧化抑制氣體。在一些實施例中,埠口440包括閥,例如提動閥、閘閥或球閥,以於排空及/或填充封入系統402後選擇性關閉。
第5A圖圖示根據某些實施例的處理系統500A,具有與封入系統502(例如第1圖的封入系統102、第2A~B圖的封入系統202、第4A~B圖的封入系統402A~B)接合的工作介面501(例如第1圖的工作介面101、第2A~B圖的工作介面201)。第5B圖圖示根據某些實施例的處理系統500B,具有與封入系統502(例如第1圖的封入系統102、第2A~B圖的封入系統202、第4A~B圖的封入系統402A~B)接合的裝載鎖定系統504(例如第1圖的裝載鎖定系統104、第2A~B圖的裝載鎖定系統204)。在一些實施例中,第5A~B圖對與封入系統502接合的工作介面501和與封入系統502接合的裝載鎖定系統504的敘述適用處理系統的其他相鄰腔室(例如封入系統、工作介面、裝載鎖定系統、裝載埠、冷卻站、存放區、移送腔室、處理腔室、計量站等)。
處理系統500A~B包括具系統部件的處理工具550,例如由移送腔室506耦接一或更多處理腔室507的裝載鎖定系統504。每一處理腔室507適於在基材上進行電子裝置製造製程。處理系統500A~B包括耦接處理工具550的工作介面501和耦接工作介面501的一或更多裝載埠528。
內容物(例如電子裝置、基材、晶圓)製造涉及進行一連串基材相關程序,例如矽基材、玻璃板、高分子基材等(在一些實施例中,基材亦稱作晶圓,無論圖案化或未圖案化)。在一些實施例中,程序包括研磨、沉積、蝕刻、微影、熱處理等中的一或更多者。在一些實施例中,許多不同處理程序在包括複數個處理腔室的單一處理系統或「工具」中進行。在一些實施例中,其他製程係在製造設施內的其他處理位置進行,基材在製造設施內從某一處理位置傳送到另一處理位置。視待製造內容物類型而定,在一些實施例中,有相當大量的處理程序在製造設施的不同處理位置進行。
基材在封入系統內從某一處理位置傳送到另一處理位置(例如基材載具、莢艙、卡匣、容器等)。諸如自動導引車、高架傳送系統、基材載具搬運機器人等自動化傳送裝置用於在製造設施內將封入系統從某一位置移動到另一位置,或將封入系統傳送進出傳送裝置。
在一些實施例中,腔室(例如封入系統等)配置以密封。密封腔室為氣密及/或真空密封,以減少及/或防止腔室內存放基材接觸一或更多微粒、污染物、氧化劑、其他反應物種等。在一些實施例中,腔室排空成特定真空度及/或填充特定氣體(例如達特定壓力、使用特定氣體混合物等)。例如,封入系統在傳送、打開及/或關閉封入系統前,先排空及/或填充氣體。在一些實施例中,氣體包括氧化抑制氣體及/或鈍氣或其他非反應氣體,例如氮、氬等。在一些實施例中,在傳送期間及/或處理前或後,存放封入系統的基材在不接觸微粒、污染物、氧化劑或其他不當物質下傳送。
在一些實施例中,一或更多設備提供以打開、關閉、泵送、淨化、裝載及/或卸載腔室。例如,系統部件(例如處理工具(例如工作介面)的裝載埠)適於在所有或部分密封封入系統周圍(例如密封封入系統的門)產生密封環境。腔室的密封環境是以與密封腔室的環境實質相等。例如,在裝載基材後封入系統排空成預定真空度的實施例中,若打開封入系統的門前排空成實質類似真空度,則視封入系統外(例如部件)的密封環境為實質相等。在一些實施例中,此係利用一或更多泵送-淨化循環達成。「實質類似」意指真空度實質相同(例如差異約10%或以下)。
在一些實施例中,腔室含有鈍氣,當腔室和鄰接腔室的密封環境填充實質類似氣體(例如同種氣體)時,鄰接腔室的密封環境視為實質相等。在一些實施例中,打開腔室的門前,在腔室和鄰接腔室的密封環境中以實質相同溫度與壓力提供鈍氣及/或非反應氣體。在一些實施例中,氣體的溫度相差至多約20%,腔室的絕對氣體壓力和鄰接腔室的密封環境的絕對氣體壓力相差至多約10%。
在鈍氣與非反應氣體混合物的情況下,在一些實施例中,混合物的各氣體莫耳百分比實質相同。在一些實施例中,氣體莫耳百分比相差至多約5%。
在一些實施例中,腔室或鄰接腔室的密封環境係處理工具的裝載鎖定室,裝載鎖定室適於在所有或部分密封封入系統周圍(例如密封封入系統的門)產生密封環境。裝載鎖定室的密封環境是以與密封腔室的環境實質相等。在一些實施例中,封入系統於裝載後排空成預定真空度,裝載鎖定室的密封環境於打開封入系統的門前排空成實質類似真空度。在一些實施例中,封入系統含有氧化抑制氣體及/或鈍氣,在打開封入系統的門前,裝載鎖定室的密封環境填充類似氣體混合物(例如利用一或更多泵送-淨化循環)。
在一些實施例中,本發明偕同不同尺寸的腔室使用。在一些實例中,本發明偕同大批量與小批量封入系統(例如基材載具)使用。術語「小批量」基材載具或「小批量」載具係指適於承載比習知「大批量」載具更少基材的封入系統,大批量載具通常承載13或25個基材。在一些實例中,小批量載具適於承載最多12個或更少基材。在一些實例中,小批量載具適於承載最多5個或更少基材。在一些實施例中,採用其他小批量載具(例如最多承載一、二、三、四或超過五個基材、但比大批量載具少的小批量載具)。在一些實例中,對人力搬運載具而言,每一小批量載具承載太少基材,以致無法在電子裝置或其他製造設施商業化。在一些實施例中,採取機械化/自動化小批量載具。
在一些實施例中,每一裝載埠528適於支撐封入系統502(例如含有一或更多基材的基材載具、第1圖的封入系統102、第2A~B圖的封入系統202、第4A~B圖的封入系統402)。工作介面501適於利用基材搬運機或其他機器人(例如第1圖的工作介面機器人111、第2A~B圖的機械臂211等),將基材從封入系統502傳送到處理工具550的裝載鎖定系統504。在一些實施例中,處理系統500A的一或更多區部各自適於產生密封環境560及讓密封環境560相等。
參照第5A圖,裝載埠528適於相對至少部分封入系統502產生密封環境560,並使密封環境560與封入系統502內的環境相等。在一些實施例中,封入系統502的凸緣(例如第4A~B圖的凸緣412)提供密封表面(例如第4A~B圖的密封表面414),裝載埠528的對應表面特徵結構562抵著密封表面而密封。在一些實施例中,封入系統502的密封表面(例如第4A~B圖的密封表面414)包括彈性密封構件516(例如第4A~B圖的彈性密封構件416)。在一些實施例中,裝載埠528的表面特徵結構562包括彈性密封構件。
在一些實施例中,裝載基材後封入系統502排空成預定真空度,且在打開封入系統502的門前排空成類似真空度,使裝載埠528的密封環境560實質相等。在一些實施例中,封入系統502含有一或更多種氣體,在打開封入系統502的門前,裝載埠528的密封環境560填充一或更多種類似氣體(例如利用一或更多泵送-淨化循環)。在一些實施例中,改變封入系統502的真空度及/或改變封入系統502中的一或更多種氣體使之實質等同密封環境560,藉以使環境相等。
參照第5B圖,裝載鎖定系統504適於相對至少部分封入系統502產生密封環境560,並使密封環境560與封入系統502內的環境實質相等。在一些實施例中,封入系統502的凸緣(例如第4A~B圖的凸緣412)提供密封表面(例如第4A~B圖的密封表面414),裝載鎖定系統504的對應表面特徵結構抵著密封表面而密封。在一些實施例中,封入系統502的密封表面(例如第4A~B圖的密封表面414)包括彈性密封構件(例如第4A~B圖的彈性密封構件416)。在一些實施例中,裝載鎖定系統504的表面特徵結構562包括彈性密封構件。
在一些實施例中,裝載基材後封入系統502排空成預定真空度,且在開門(例如封入系統502的門、裝載鎖定系統504的門)前排空成類似真空度,使裝載鎖定系統504的密封環境560實質相等。在一些實施例中,封入系統502的真空度改變成實質等同密封環境的真空度。在一些實施例中,封入系統502含有一或更多種氣體,在打開封入系統502的門前,裝載鎖定系統504的密封環境560填充一或更多種類似氣體(例如利用一或更多泵送-淨化循環)。在一些實施例中,改變封入系統502的真空度及/或改變封入系統502中的一或更多種氣體使之實質等同密封環境560,藉以使環境相等。
第6圖圖示根據某些實施例,在製造系統中使用氧化抑制氣體的方法600。方法600由處理邏輯進行,處理邏輯包括硬體(例如電路、專屬邏輯、可程式邏輯、微碼、處理裝置等)、軟體(例如處理裝置運作指令、通用電腦系統或專屬機器)、韌體、微碼或上述組合物。在一些實施例中,方法600由第1圖的控制器109進行。在一些實施例中,方法600由伺服裝置進行(例如與控制器109通信連通)。在一些實施例中,非暫態儲存媒體儲存指令,處理裝置(例如控制器109、伺服裝置等的處理裝置)執行時,促使處理裝置進行方法600。
儘管依特定序列或順序圖示,但除非另行指明,否則製程的順序可更改。因此,所示實施例當僅視為示例說明,所示製程可依不同順序進行,一些製程可並行進行。此外,在不同實施例中,可省略一或更多製程。故並非每個實施例都採用所有製程。
參照第6圖的方法600,在方塊602中,處理邏輯使包括氧化抑制氣體的氣體流入(例如提供包括氧化抑制氣體的氣流)半導體處理系統的腔室。在一些實施例中,流入腔室的氣體包括0.5%~5%的氧化抑制氣體,剩餘部分為鈍氣。在一些實施例中,流入腔室的氣體包括至多100%的氧化抑制氣體。在一些實施例中,腔室包括工作介面或鄰接工作介面的腔室(例如封入系統、裝載埠、裝載鎖定系統、冷卻站、計量站或存放區)中的一或更多者。
在方塊604中,處理邏輯使現有氣體從腔室再循環回腔室。在一些實施例中,方塊602包括方塊604(例如,在方塊602中使氣體流入腔室包括使再循環氣體流入腔室,方塊602的氣流包括流入腔室的再循環氣體和流入腔室的非再循環氣體等)。在一些實施例中,方塊604與方塊602係分開的(例如,方塊604的現有氣體再循環不同於方塊602的氣體流動)。在一些實例中,方塊602的氣體流動係以高於洩漏及排放的速率使非再循環氣體流入腔室,以提供加壓腔室。在一些實施例中,方塊604的現有氣體再循環為提供空氣流至腔室的基材。
在方塊606中,處理邏輯利用耦接腔室的一或更多感測器接收感測資料。在一些實例中,感測資料指示腔室內的當前氧位準及/或當前水分位準。在一些實例中,感測資料指示腔室相關溫度(例如晶圓溫度、腔室內部溫度等)、腔室相關傳送動作(例如將基材傳送進出腔室)、腔室相關壓力、腔室相關氣體種類(例如腔室中氧化抑制氣體的氣體百分比)等中的一或更多者。
在方塊608中,處理裝置測定腔室相關狀態變化發生。在一些實施例中,狀態變化為腔室改變成關閉位置、打開位置、在關閉與打開位置間的過渡位置、基板傳送到腔室、基板傳送出腔室等。在一些實施例中,處理裝置利用一或更多感測器(例如門致動感測器、運動感測器等)測定狀態變化發生。
在方塊610中,處理裝置決定是否對流入腔室的氣體進行調整。處理裝置依據感測資料及/或腔室相關狀態發生,決定是否進行調整。在一些實施例中,不同氣體流入腔室與不同氧及/或水分位準或狀態變化相關聯。響應測定氧及/或水分位準或狀態變化與流入腔室的氣體不同於流入腔室的當前氣體相關聯,處理邏輯決定對流入腔室的氣體進行調整。響應決定進行流入腔室的氣體調整,流程繼續至方塊612。響應決定不進行流入腔室的氣體調整,流程繼續至方塊604(例如繼續監測感測資料,並繼續監測腔室相關狀態變化)。
在方塊612中,處理邏輯致使進入腔室的當前氧化抑制氣體量調整(例如非再循環氧化抑制氣體)。例如,響應決定增加腔室的氧及/或水分量(例如當前氧及/或水分量符合第一閥值),處理邏輯將增加進入腔室的當前氧化抑制氣體量(例如達對應第一閥值量)。在另一實例中,響應決定減少氧及/或水分量(例如當前氧及/或水分量符合第二閥值),處理邏輯將減少進入腔室的當前氧化抑制氣體量(例如達對應第一閥值量)。
在方塊614中,處理邏輯致使進入腔室的當前鈍氣量調整。在一些實施例中,處理邏輯以和改變進入腔室的當前氧化抑制氣體量一樣的速率改變進入腔室的當前鈍氣量。在一些實施例中,處理邏輯獨立於進入腔室的當前鈍氣量來改變進入腔室的當前氧化抑制氣體量。
第7圖係根據某些實施例,電腦系統700的方塊圖。在一些實施例中,電腦系統700係控制器109(例如參見第1圖)。電腦系統700(例如處理裝置702)用於致使進入腔室的當前氧化抑制氣體量調整,其中腔室包括工作介面或鄰接工作介面的腔室(例如封入系統、裝載埠、裝載鎖定系統、冷卻站、計量站或存放區)中的一或更多者。
在一些實施例中,電腦系統700連接(例如透過網路,例如區域網路(LAN)、企業內部網路、企業外部網路或網際網路)至其他電腦系統。在一些實施例中,電腦系統700以主從網路環境的伺服器或客戶端電腦身分、或做為同級間或分散式網路環境的對等電腦操作。在一些實施例中,電腦系統700由個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、手機、網路設備、伺服器、網路路由器、交換機或橋接器、或任何能(循序或按其他方式)執行指令集以指定裝置執行動作的裝置提供。另外,術語「電腦」應包括個別或共同執行一組(或多組)指令以進行所述任一或更多方法的任何電腦集合。
在另一態樣中,電腦系統700包括處理裝置702、揮發性記憶體704(例如隨機存取記憶體(RAM))、非揮發性記憶體706(例如唯讀記憶體(ROM)或電子可抹除可程式化ROM(EEPROM))和資料儲存裝置718,處理裝置702、記憶體704、706和資料儲存裝置718透過匯流排708互相通信連接。
在一些實施例中,處理裝置702由一或更多處理器提供,例如通用處理器(例如複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字組(VLIW)微處理器、實現其他類指令集的微處理器或實現指令集組合的微處理器)或專用處理器(例如專用積體電路(ASIC)、場可程式閘陣列(FPGA)、數位訊號處理器(DSP)或網路處理器)。
電腦系統700進一步包括網路介面裝置722(例如透過網路774通信連通)。在一些實施例中,電腦系統700包括視訊顯示單元710(例如LCD)、字母數字輸入裝置712(例如鍵盤)、游標控制裝置714(例如滑鼠)和訊號產生裝置720。
在一些實施例中,資料儲存裝置718包括非暫態電腦可讀取儲存媒體724,用以儲存對所述任一或更多方法或功能進行編碼的指令726,包括用於實行所述方法的指令(例如致使進入腔室的當前氧化抑制氣體量調整及/或進行第6圖的方法600)。
在一些實施例中,電腦系統700執行時,指令726完全或部分常駐在揮發性記憶體704及/或處理裝置702內,是以在一些實施例中,揮發性記憶體704和處理裝置702亦構成機器可讀取儲存媒體。
雖然在示例性實例中,電腦可讀取儲存媒體724圖示為單一媒體,但術語「非暫態電腦可讀取儲存媒體」應包括單一媒體或多個媒體(例如集中式或分散式資料庫及/或相關高速緩衝儲存器和伺服器),用以儲存一或更多組執行指令。術語「非暫態電腦可讀取儲存媒體」亦應包括任何能儲存或編碼電腦執行指令集以使電腦進行所述任一或更多方法的實體媒體。術語「非暫態電腦可讀取儲存媒體」應包括、但不限於固態記憶體、光學媒體與磁性媒體。
在一些實施例中,本文所述方法、部件和特徵結構由離散硬體部件實行或整合到其他硬體部件的功能(例如ASICS、FPGA、DSP或類似裝置)。在一些實施例中,方法、部件和特徵結構由硬體裝置內的韌體模組或功能電路實行。在一些實施例中,方法、部件和特徵結構由硬體裝置與電腦程式部件的任何組合物或以電腦程式實行。
除非另行指明,否則「致使」、「接收」、「決定」等術語係指電腦系統或類似電子運算裝置進行或實行的動作和程序,用以操縱及將電腦系統暫存器和記憶體中表示成物理(電子)量的資料轉換成電腦系統記憶體或暫存器或其他資訊儲存、傳送或顯示裝置中同樣表示成物理量的其他資料。又,本文所用「第一」、「第二」、「第三」、「第四」等術語意欲標示區分不同元件,在一些實施例中,不具有按數字命名的順序含義。
本文所述實例亦關於進行所述方法的設備。在一些實施例中,設備特別建構以進行所述方法,或包括由電腦系統儲存電腦程式選擇性程式化的通用電腦系統。在一些實施例中,電腦程式儲存於電腦可讀取實體儲存媒體。
本文所述方法和示例性實例本質上與任何特定電腦或其他設備無關。在一些實施例中,各種通用系統依所述教示使用,或更專業化設備建構以進行所述方法及/或各自的個別功能、常式、次常式或操作。用於各種系統的結構實例描述於上。
以上說明提及眾多特定細節,例如特定系統、部件、方法等實例,以提供對本發明數個實施例的良好理解。然熟諳此技術者將明白本發明的至少一些實施例可不按該等特定細節實踐。在其他情況下,不詳述已知部件或方法,或是以簡易方塊圖表示,以免讓本發明變得晦澀難懂。故提及的特定細節僅為舉例而已。特定實施方式可能偏離示例性細節,但仍涵蓋在本發明範圍內。
整份說明書提及的「一個實施例」或「一實施例」意指該實施例描述的特定特徵、結構或特性係包括在至少一實施例內。故說明書各處出現的「在一個實施例中」或「在一實施例中」等用語不必然指稱同一實施例。此外,「或」一詞擬指包容性「或」、而非排除性「或」。本文所用「約」或「近乎」一詞擬指所示標稱值的精確度為±10%以內。
雖然本文所示及所述方法操作係呈特定順序,但在一些實施例中,各方法操作順序可改變成讓某些操作按相反順序進行,或使某些操作至少部分與其他操作同時進行。在另一實施例中,可以間歇及/或交替方式進行不同操作的指令或次操作。
應理解以上敘述僅為舉例說明,而無限定意圖。熟諳此技術者在閱讀及理解以上說明後將能明白許多其他實施例。因此,本發明範圍應視後附申請專利範圍和申請專利範圍主張的全部均等物範圍所界定者為準。
100:處理系統 101:工作介面 102,102A~B:封入系統 103,103a~b,105,105a~b:真空埠 104,104a~b:裝載鎖定系統 106:移送腔室 107:處理腔室 108:埠口 109:控制器 110:內容物 111:工作介面機器人 112:移送腔室機器人 120:存放區 122:冷卻站 124:計量站 128:裝載埠 200,200A~B:處理系統 201:工作介面 202:封入系統 203,203A~B,205,205A~B,230,234:門 204:裝載鎖定系統 206:移送腔室 207:處理腔室 211,212:機械臂 228:裝載埠 232:門載台 236,236A~B:裝載鎖定室 240,240A~B:埠口 300,300A~D:處理系統 302,302A~D:腔室 304:側壁 306:門 308:內部體積 310:埠口 312:感測器 320:再循環裝置 322:排放裝置 324,324A~B:供應裝置 330:再循環管道 332:排放管道 334:輸入管道 340,340A~B:氣體供應器 402A~B:封入系統 404:門 406:主體 408,416:密封構件 410,414:密封表面 412:凸緣 440:埠口 500A~B:處理系統 501:工作介面 502:封入系統 504:裝載鎖定系統 506:移送腔室 507:處理腔室 516:彈性密封構件 528:裝載埠 550:處理工具 560:密封環境 562:表面特徵結構 600:方法 602,604,606,608,610,612,614:方塊 700:電腦系統 702:處理裝置 704,706:記憶體 708:匯流排 710:視訊顯示單元 712:字母數字輸入裝置 714:游標控制裝置 718:資料儲存裝置 720:訊號產生裝置 722:網路介面裝置 724:電腦可讀取儲存媒體 726:指令 774:網路 SSP:側儲艙
本發明以附圖示例性、而非限制性舉例說明,其中各圖中相同的元件符號表示相仿的元件。應注意本文個別提及「一」或「一個」實施例不必然指稱同一實施例,此語意味著至少一個。
第1圖圖示根據某些實施例的處理系統。
第2A圖圖示根據某些實施例的處理系統截面圖。
第2B圖圖示根據某些實施例的處理系統截面圖。
第3A圖至第3D圖圖示根據某些實施例,處理系統的腔室截面圖。
第4A圖圖示根據某些實施例的密封封入系統透視圖。
第4B圖圖示根據某些實施例的密封封入系統透視圖。
第5A圖圖示根據某些實施例的處理系統,具有與密封封入系統接合的工作介面。
第5B圖圖示根據某些實施例的處理系統,具有與密封封入系統接合的裝載鎖定室。
第6圖圖示根據某些實施例,在製造系統中使用氧化抑制氣體的方法。
第7圖係根據某些實施例的電腦系統方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
600:方法
602,604,606,608,610,612,614:方塊

Claims (20)

  1. 一種方法,包含以下步驟: 使包含一氧化抑制氣體的一氣體流入一半導體處理系統的一腔室,其中該腔室包含該半導體處理系統的一工作介面或裝設於該工作介面的一鄰接腔室中的一或更多者; 利用耦接該腔室的一或更多感測器接收一感測資料,該資料指示該腔室內的一當前氧位準或該腔室內的一當前水分位準中的至少一者; 依據該感測資料,決定是否對進入該腔室的一當前氧化抑制氣體量進行調整;及 響應決定進行調整,致使進入該腔室的該當前氧化抑制氣體量調整。
  2. 如請求項1所述之方法,其中該鄰接腔室包含下列一或更多者: 一基材封入系統; 一裝載鎖定系統; 一側儲艙(SSP); 一冷卻站;或 一計量站。
  3. 如請求項1所述之方法,其中該鄰接腔室配置以相對該工作介面在一第一條件下放在一關閉位置,及配置以相對該工作介面在一第二條件下放在一打開位置。
  4. 如請求項3所述之方法,其中該第一條件與該鄰接腔室內的一基材傳送或處理相關聯,其中該第二條件與該基材從該鄰接腔室傳送到該工作介面相關聯。
  5. 如請求項1所述之方法,其中該氧化抑制氣體至多佔流入該腔室的該氣體的5%。
  6. 如請求項1所述之方法,其中該氧化抑制氣體為氫或氨中的一或更多者。
  7. 如請求項1所述之方法,其中流入該腔室的該氣體進一步包含一鈍氣,其中該鈍氣包含氮、氬、氖、氦、氪或氙中的一或更多者。
  8. 如請求項1所述之方法,其中: 使該氣體流入包含使一現有氣體從該腔室再循環回該腔室;及 致使進入該腔室的該當前氧化抑制氣體量調整包含改變一非再循環氧化抑制氣體進入該腔室的一第一速率。
  9. 如請求項8所述之方法,其中: 該非再循環氧化抑制氣體進入該腔室的該第一速率獨立於一非再循環鈍氣進入該腔室的一第二速率改變。
  10. 如請求項1所述之方法,進一步包含以下步驟: 以和調整進入該腔室的該當前氧化抑制氣體量一樣的速率調整進入該腔室的一第二鈍氣量。
  11. 如請求項1所述之方法,進一步包含以下步驟: 響應決定該鄰接腔室已相對該工作介面從一關閉位置變成一打開位置,致使第二次調整進入該鄰接腔室的該當前氧化抑制氣體量。
  12. 一種儲存指令的非暫態機器可讀取儲存媒體,當執行時,促使一處理裝置進行下列多個操作,包含: 使包含一氧化抑制氣體的一氣體流入一半導體處理系統的一腔室,其中該腔室包含該半導體處理系統的一工作介面或裝設於該工作介面的一鄰接腔室中的一或更多者; 利用耦接該腔室的一或更多感測器接收一感測資料,該資料指示該腔室內的一當前氧位準或該腔室內的一當前水分位準中的至少一者; 依據該感測資料,決定是否對進入該腔室的一當前氧化抑制氣體量進行調整;及 響應決定進行調整,致使進入該腔室的該當前氧化抑制氣體量調整。
  13. 如請求項12所述之非暫態機器可讀取儲存媒體,其中該鄰接腔室包含下列一或更多者: 一基材封入系統; 一裝載鎖定系統; 一側儲艙(SSP); 一冷卻站;或 一計量站。
  14. 如請求項12所述之非暫態機器可讀取儲存媒體,其中該氧化抑制氣體至多佔流入該腔室的該氣體的5%,其中該氧化抑制氣體為氫或氨中的一或更多者。
  15. 如請求項12所述之非暫態機器可讀取儲存媒體,其中流入該腔室的該氣體進一步包含一鈍氣,其中該鈍氣包含氮、氬、氖、氦、氪或氙中的一或更多者。
  16. 如請求項12所述之非暫態機器可讀取儲存媒體,其中: 使該氣體流入包含使一現有氣體從該腔室再循環回該腔室;及 致使進入該腔室的該當前氧化抑制氣體量調整包含改變一非再循環氧化抑制氣體進入該腔室的一第一速率,其中該非再循環氧化抑制氣體進入該腔室的該第一速率獨立於一非再循環鈍氣進入該腔室的一第二速率改變。
  17. 一種半導體處理系統,包含: 一腔室,包含一氧化抑制氣體入口並配置以接收一氧化抑制氣體至該腔室內,其中該腔室包含一工作介面或裝設於該工作介面的一鄰接腔室中的一或更多者; 一或更多感測器,耦接該腔室,其中該一或更多感測器配置以提供一感測資料,該資料指示該腔室內的一當前氧位準或該腔室內的一當前水分位準中的至少一者;及 一處理裝置,依據該感測資料調整進入該腔室的一當前氧化抑制氣體量。
  18. 如請求項17所述之半導體處理系統,其中該鄰接腔室包含下列一或更多者: 一基材封入系統; 一裝載鎖定系統; 一側儲艙(SSP); 一冷卻站;或 一計量站。
  19. 如請求項17所述之半導體處理系統,其中該氧化抑制氣體至多佔流入該腔室的該氣體的5%,其中該氧化抑制氣體為氫或氨中的一或更多者。
  20. 如請求項17所述之半導體處理系統,其中流入該腔室的該氣體進一步包含一鈍氣,其中該鈍氣包含氮、氬、氖、氦、氪或氙中的一或更多者。
TW110105269A 2020-02-14 2021-02-17 製造系統中的氧化抑制氣體 TWI819274B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/791,275 US11569102B2 (en) 2020-02-14 2020-02-14 Oxidation inhibiting gas in a manufacturing system
US16/791,275 2020-02-14

Publications (2)

Publication Number Publication Date
TW202147486A true TW202147486A (zh) 2021-12-16
TWI819274B TWI819274B (zh) 2023-10-21

Family

ID=77273025

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105269A TWI819274B (zh) 2020-02-14 2021-02-17 製造系統中的氧化抑制氣體

Country Status (3)

Country Link
US (1) US11569102B2 (zh)
TW (1) TWI819274B (zh)
WO (1) WO2021163221A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
US11370114B2 (en) * 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6989228B2 (en) 1989-02-27 2006-01-24 Hitachi, Ltd Method and apparatus for processing samples
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5879458A (en) 1996-09-13 1999-03-09 Semifab Incorporated Molecular contamination control system
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
JP3167970B2 (ja) 1997-10-13 2001-05-21 ティーディーケイ株式会社 クリーンボックス、クリーン搬送方法及び装置
JP3417821B2 (ja) 1997-11-17 2003-06-16 ティーディーケイ株式会社 クリーンボックス、クリーン搬送方法及び装置
JPH11214479A (ja) 1998-01-23 1999-08-06 Tokyo Electron Ltd 基板処理装置及びその方法並びに基板搬送装置
US5988233A (en) 1998-03-27 1999-11-23 Asyst Technologies, Inc. Evacuation-driven SMIF pod purge system
US6641349B1 (en) 1999-04-30 2003-11-04 Tdk Corporation Clean box, clean transfer method and system
US6936134B2 (en) * 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2003007800A (ja) 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20030031538A1 (en) 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
JP2003092345A (ja) 2001-07-13 2003-03-28 Semiconductor Leading Edge Technologies Inc 基板収納容器、基板搬送システム、保管装置及びガス置換方法
JP2003037146A (ja) 2001-07-24 2003-02-07 Asm Japan Kk バッファ機構を有する半導体製造装置及び方法
JP2003034899A (ja) 2001-07-24 2003-02-07 Naramoto Rika Kogyo Kk 小片体の処理装置及び小片体の処理方法
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US7150816B2 (en) * 2001-08-31 2006-12-19 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
JP2003124284A (ja) 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
KR20050016904A (ko) 2002-06-28 2005-02-21 어드밴스드 마이크로 디바이시즈, 인코포레이티드 금속 부식을 감소시키면서 기판을 전기 화학적으로처리하는 장치 및 방법
US7234584B2 (en) 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
DE60332644D1 (de) 2002-10-25 2010-07-01 Shinetsu Polymer Co Substratspeicherbehälter
US6899145B2 (en) 2003-03-20 2005-05-31 Asm America, Inc. Front opening unified pod
US20050169730A1 (en) 2003-04-30 2005-08-04 Ravinder Aggarwal Semiconductor processing tool front end interface with sealing capability
US20050105997A1 (en) 2003-09-11 2005-05-19 Englhardt Eric A. Methods and apparatus for carriers suitable for use in high-speed/high-acceleration transport systems
KR100583726B1 (ko) 2003-11-12 2006-05-25 삼성전자주식회사 기판 처리 장치 및 기판 처리 방법
CN1950928A (zh) 2004-09-04 2007-04-18 应用材料公司 具有降低高度的衬底承载体
US20060065571A1 (en) 2004-09-27 2006-03-30 Tim Hsiao Wafer shipping box and wafer transportation method
US8293646B2 (en) * 2004-11-08 2012-10-23 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
US20070140822A1 (en) 2005-12-16 2007-06-21 Applied Materials, Inc. Methods and apparatus for opening and closing substrate carriers
US20070141280A1 (en) 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
US8074597B2 (en) 2006-01-11 2011-12-13 Applied Materials, Inc. Methods and apparatus for purging a substrate carrier
JP4750773B2 (ja) 2007-10-01 2011-08-17 忠弘 大見 基板の処理システム
US8870512B2 (en) 2007-10-27 2014-10-28 Applied Materials, Inc. Sealed substrate carriers and systems and methods for transporting substrates
JP5864637B2 (ja) * 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
TWI676089B (zh) * 2017-06-23 2019-11-01 美商應用材料股份有限公司 側儲存倉、電子裝置處理系統、和處理基板的方法
KR20200037695A (ko) 2018-10-01 2020-04-09 한국전자통신연구원 송수신 스위치, 송수신기, 그리고 송수신 스위치의 동작 방법

Also Published As

Publication number Publication date
US11569102B2 (en) 2023-01-31
US20210257233A1 (en) 2021-08-19
WO2021163221A1 (en) 2021-08-19
TWI819274B (zh) 2023-10-21

Similar Documents

Publication Publication Date Title
US6797617B2 (en) Reduced cross-contamination between chambers in a semiconductor processing tool
JP6907166B2 (ja) 半導体処理ツール
KR102297447B1 (ko) 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9209055B2 (en) Substrate processing apparatus
TW201940216A (zh) 具有工廠介面腔室過濾器淨化的基板處理設備及方法
JP2007186757A (ja) 真空処理装置及び真空処理方法
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
TW201305471A (zh) 閘閥裝置及基板處理裝置以及基板處理方法
TWI819274B (zh) 製造系統中的氧化抑制氣體
JP2006310561A (ja) 真空処理装置および真空処理方法
US20230187239A1 (en) Systems and methods for integrating load locks into a factory interface footprint space
JP2007149948A (ja) 真空処理装置
TW202007946A (zh) 容器載置裝置、半導體製造裝置以及容器內環境氣體之控制方法
TW202215579A (zh) 基板處理系統載體
JP2012069658A (ja) 基板処理装置及び基板処理方法
US20190371633A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP4240311B2 (ja) 基板処理装置
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
TW202312233A (zh) 具有冗餘度的工廠介面
JP2012069628A (ja) 基板処理装置
JP2009024229A (ja) 基板処理装置