JP6907166B2 - 半導体処理ツール - Google Patents

半導体処理ツール Download PDF

Info

Publication number
JP6907166B2
JP6907166B2 JP2018158802A JP2018158802A JP6907166B2 JP 6907166 B2 JP6907166 B2 JP 6907166B2 JP 2018158802 A JP2018158802 A JP 2018158802A JP 2018158802 A JP2018158802 A JP 2018158802A JP 6907166 B2 JP6907166 B2 JP 6907166B2
Authority
JP
Japan
Prior art keywords
module
load lock
chamber
substrate
exemplary embodiment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018158802A
Other languages
English (en)
Other versions
JP2019012834A (ja
Inventor
クリストファー ホフマイスター
クリストファー ホフマイスター
マーティン アール. エリオット
マーティン アール. エリオット
アレキサンダー クルフィシェフ
アレキサンダー クルフィシェフ
ジョセフ ハリセイ
ジョセフ ハリセイ
ジョセフ エー. クラウス
ジョセフ エー. クラウス
ウィリアム フォスナイト
ウィリアム フォスナイト
クレイグ ジェイ. カーボン
クレイグ ジェイ. カーボン
ジェフリー シー. ブラフニク
ジェフリー シー. ブラフニク
ヒ イン オーウェン フォン
ヒ イン オーウェン フォン
Original Assignee
ブルックス オートメーション インコーポレイテッド
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド, ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2019012834A publication Critical patent/JP2019012834A/ja
Application granted granted Critical
Publication of JP6907166B2 publication Critical patent/JP6907166B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

本出願は、2007年5月18日に出願された米国特許仮出願第60/938,922号の利益を主張するものであり、その開示内容の全てを本明細書の一部を構成するものとしてここに援用する。
例示的な実施形態は概して言えば、制御された雰囲気環境に関し、より具体的には、そのような環境内でのスループットの増加に関する。
製造関連エレクトロニクス産業では効率性の向上が求められており、特に、エレクトロニクスの増大する部分を同等に形成している半導体装置の製造でその傾向が顕著である。
クラスタツールを介し、特に、ロードロック内の任意の動作や装置を除去してアセンブリの部品数や複雑性を最小限に抑える一方で、静止型ロードロック冷却棚を用いて熱いウェハのスループットを最適化するということがある。クラスタツール内のスループットを高めるためには、従来の方式では、複数のロードロックモジュールを使用する。そのため、機器の複雑性が増し、エンドユーザーにおけるコストも上がる。
通常、半導体処理システムにおいて、大気ドアは、装置フロントエンドモジュール(EFEM)またはロードポートモジュールなどに見られるように、例えば、ロードロックと大気インターフェースとの間のウェハスリット開口部を封止するために使用される。大気ドアは通常、空気圧で駆動し、垂直方向の移動でスリット開口部を覆う。次に、大気ドアは、スリット開口部を囲むロードロックの封止接触面と接触するように駆動され、外部雰囲気から開口部を封止する。
ロードロックの接触面の封止では、ドアシールの擦り切れ、不完全なドアの動き、異物などがロードロックの接触面に損傷を与え、ロードロックチャンバがポンプダウン(真空排気)されているときに、ロードロックチャンバに漏出が起こる可能性がある。ロードロックの封止接触面の修理は、表面の元の状態を作り上げるために、再度機械加工をしたり、やすりで磨かなくてはいけないという点で、時間と労力を要する。封止接触面の再加工にはかなりの時間を要し、処理ツールが長い間稼働できないという状況を起こしうる。封止接触面の修理が不可能な場合は、ロードロックを取り替えることになる。
プロセスツールのダウンタイムを最小限に抑えるために、素早く交換できる大気ドアの接触面がロードロックにあれば、利点になるであろう。
例えば、プロセスモジュールからロードポートモジュール、またその逆からの移送過程において基板をパーティクル汚染から保護するのは、半導体基板の製造において重要な業務である。基板の汚染を最小限に抑えるには、基板搬送モジュールの全可動部品を基板の経路の下に配置するのが一般的である。
通常、ロードロックなどの(ただし、これに限定されない)基板処理機器で用いる大気ドアおよびスロット弁は、浮遊するパーティクルによる基板の汚染を最小限に抑えるために、基板移送面の下に位置している。例えば、積層または二重のロードロックの場合は、ドアアクチュエータが基板移送域に位置するように、大気ドアおよびスロット弁を基板移送面より上に逆さまにロードロックに取り付ける。基板移送面より上にドアアクチュエータがあることで、ドアアクチュエータによる基板のパーティクル汚染の可能性が高くなる。
基板のパーティクル汚染を最小限に抑えるように、そのアクチュエータが基板移送面より上に位置しないか、基板移送域内に位置しない大気ドアであれば、利点になるであろう。
第1の例示的実施形態により、基板処理ツールが提供される。当該基板処理ツールは、制御された雰囲気を保持するために少なくとも1つの隔離可能なチャンバを形成するフレームと、少なくとも1つの隔離可能なチャンバのそれぞれに位置する少なくとも2つの基板支持体であって、それぞれ上下に積層され、それぞれの基板を支えるために構成されている、少なくとも2つの基板支持体と、少なくとも2つの基板支持体と連通可能に結合している冷却ユニットであって、少なくとも2つの基板支持体および冷却ユニットが、少なくとも2つの基板支持体上に位置する各基板のそれぞれに同時伝導冷却を与えるように構成されている、冷却ユニットと、を備える。
前述した例示的実施形態の態様および他の特徴について、付随の図面と関連させて以下のように説明する。
1つの例示的実施形態による特徴を組み入れ、基板処理チャンバモジュールを異なる斜視方向から示した概略斜視図である。 1つの例示的実施形態による特徴を組み入れ、基板処理チャンバモジュールを異なる斜視方向から示した概略斜視図である。 モジュールを他の方向から示した他の概略斜視図である。 モジュールを他の方向から示した他の概略斜視図である。 モジュールを他の方向から示した他の概略斜視図である。 モジュールの分解図である。 例示的実施形態の態様を組み入れた、基板処理ツールの概略図である。 例示的実施形態の態様を組み入れた、基板処理ツールの概略図である。 それぞれモジュールの断面図と部分拡大断面図である。 それぞれモジュールの断面図と部分拡大断面図である。 別の例示的実施形態による、モジュールおよび基板搬送装置の他の断面図である。 別の例示的実施形態による、モジュールおよび基板搬送装置の他の断面図である。 別の例示的実施形態による、処理ツールの別の箇所に接続したモジュールの立面図である。 別の例示的実施形態による、それぞれチャンバモジュールの別の部分斜視図と断面図である。 別の例示的実施形態による、それぞれチャンバモジュールの別の部分斜視図と断面図である。 他の例示的実施形態による、弁モジュールの異なる概略斜視図である。 他の例示的実施形態による、弁モジュールの異なる概略斜視図である。 他の例示的実施形態による、弁モジュールの異なる概略斜視図である。 他の例示的実施形態による、弁モジュールの異なる概略斜視図である。 別の例示的実施形態による、モジュールの一部の概略断面図である。 図10Aは、別の例示的実施形態によるチャンバモジュールの分解斜視図であり、図10Bは、モジュールの断面図である。 図10Aは、別の例示的実施形態によるチャンバモジュールの分解斜視図であり、図10Bは、モジュールの断面図である。 図11A−11Bはそれぞれ、異なる位置からのモジュールの他の断面図であり、図11Cはモジュールおよび基板の一部の部分断面図であり、図11D−11Eはモジュールの異なる位置からの斜視断面図である。 図11A−11Bはそれぞれ、異なる位置からのモジュールの他の断面図であり、図11Cはモジュールおよび基板の一部の部分断面図であり、図11D−11Eはモジュールの異なる位置からの斜視断面図である。 図11A−11Bはそれぞれ、異なる位置からのモジュールの他の断面図であり、図11Cはモジュールおよび基板の一部の部分断面図であり、図11D−11Eはモジュールの異なる位置からの斜視断面図である。 図11A−11Bはそれぞれ、異なる位置からのモジュールの他の断面図であり、図11Cはモジュールおよび基板の一部の部分断面図であり、図11D−11Eはモジュールの異なる位置からの斜視断面図である。 図11A−11Bはそれぞれ、異なる位置からのモジュールの他の断面図であり、図11Cはモジュールおよび基板の一部の部分断面図であり、図11D−11Eはモジュールの異なる位置からの斜視断面図である。 さらに別の例示的実施形態による、モジュールの他の断面図である。 さらに別の例示的実施形態による、モジュールの他の断面図である。 さらに別の例示的実施形態による、モジュールの部分断面図である。 1つの例示的実施形態による、2つの構成におけるロードロックを示す図である。 1つの例示的実施形態による、2つの構成におけるロードロックを示す図である。 1つの例示的実施形態による、ロードロックの上面図である。 1つの例示的実施形態による、2つの構成におけるロードロックの正面図である。 1つの例示的実施形態による、2つの構成におけるロードロックの正面図である。 例示的実施形態による特徴を組み入れた、ロードロックを示す図である。 例示的実施形態による、ドア駆動システムの部分を示す図である。 例示的実施形態による、ドア駆動システムの部分を示す図である。 例示的実施形態による特徴を組み入れた、処理システムの断面図である。 1つの例示的実施形態による、図16のロードロックの上面図である。 1つの例示的実施形態による、2つの構成における図16のロードロックの正面図である。 1つの例示的実施形態による、2つの構成における図16のロードロックの正面図である。 1つの例示的実施形態による特徴を組み入れた、ロードロックの等角図である。 1つの例示的実施形態による、ロードロックとドアとのインターフェースの等角図である。 1つの例示的実施形態による、ドアが第1の位置にあるロードロックとドアとのインターフェースの断面図である。 1つの例示的実施形態による、ドアが第2の位置にあるロードロックとドアとのインターフェースの断面図である。 図203のロードロックとドアとのインターフェースの別の断面図である。 1つの例示的実施形態による特徴を組み入れた、ロードロックモジュールの部分の概略斜視図である。 1つの例示的実施形態による、ロードロックモジュールを示す図である。 1つの例示的実施形態による、ロードロックモジュールを示す図である。 1つの例示的実施形態による、ロードロックモジュールを示す図である。 1つの例示的実施形態の態様を示すグラフである。 1つの例示的実施形態による、処理ツールを示す図および関連するフローチャートである。 1つの例示的実施形態による、基板スループットに関するグラフである。
図1Aおよび図1Bを参照すると、1つの例示的実施形態による特徴を組み入れた、基板処理モジュール10の概略斜視図がそれぞれ示されている。本明細書に開示されている実施形態は、図面に示されている実施形態を参照して記述されるが、当該実施形態は、多数の代替的な実施形態に組み込むことが可能であることを理解されたい。さらに、あらゆる適切な大きさ、形状、もしくはタイプの部材または材料を使用することもできる。
図1Aおよび図1Bから実現可能なものとして、モジュール10は全体的に、基板に対して1つまたは複数の所望のプロセス(材料の堆積、エッチング、リソグラフィ、イオン注入、クリーニング、研磨など)を行うことができる処理ツールの所望の部分とモジュールが嵌合できるような構成になっている。基板は、200mm径、300mm径、450mm径の半導体ウェハや、レチクル、ペリクル、またはフラットパネルディスプレイのパネルなどの任意の適切なタイプでもよい。図1Aおよび図1Bに示す例示的実施形態のモジュールはロードロックモジュールでもよいが、代替の実施形態では、任意の適切なタイプのモジュールでもよい。図示されている例示的実施形態でのモジュールの構成は例示的なものであり、代替の実施形態では、ロードロックモジュールは任意の他の所望の構成を有してもよい。
1つの実施形態では、ロードロックモジュール10は、図2および図3に示すように、処理ツールの異なる部分の間で連通することができる。異なる部分のそれぞれは、例えば、数多くの異なる雰囲気(例えば、一方に不活性ガスで他方に真空、または、一方に清浄な空気雰囲気で他方に真空/不活性ガス)を有してもよい。図2に示すように、例えば半導体ツールステーション690などのような処理装置が、1つの例示的実施形態によって示されている。図面には半導体ツールが示されているが、本明細書で説明する実施形態は、ロボットマニピュレータを採用した任意のツールステーションまたは応用で使用することもできる。この例ではツール690はクラスタツールとして示されているが、この例示的実施形態は、例えば、図3に示すような、また、その開示内容の全てを本明細書の一部を構成するものとして援用する、2006年5月26日出願の「Linearly distributed Semiconductor Workpiece Processing Tool」と題する米国特許出願第11/442,511号で説明されているような、直線型ツールステーションなどのような、任意の適切なツールステーションに適用してもよい。ツールステーション690は通常、大気フロントエンド600、真空ロードロック610、および真空バックエンド620を含んでいる。代替の実施形態では、ツールステーションは任意の適切な構成を有してもよい。フロントエンド600、ロードロック610、およびバックエンド620のそれぞれの構成要素は、コントローラ691に接続していてもよい。コントローラ691は、例えばクラスタ型アーキテクチャ制御など、任意の適切な制御アーキテクチャの一部でもよい。制御システムは、その開示内容の全てを本明細書の一部を構成するものとして援用する、2005年7月11日出願の「Scalable Motion Control System」と題する米国特許出願第11/178,615号で開示されているような、マスタコントローラ、クラスタコントローラ、および自律リモートコントローラを有する閉ループコントローラでもよい。代替の実施形態では、任意の適切なコントローラ、および/または制御システムを使用してもよい。
こうした例示的実施形態では、フロントエンド600は通常、ロードポートモジュール605と、例えば、装置フロントエンドモジュール(EFEM)などのミニ環境660とを含んでいる。ロードポートモジュール605は、300mmロードポート、前面開口または後面開口のボックス/ポッド、およびカセットのためのE15.1、E47.1、E62、E19.5、またはE1.9のSEMI規格に準拠するツール規格(BOLTS)インターフェースに対するボックスオープナ/ローダでもよい。代替の実施形態では、ロードポートモジュールは、200mmウェハのインターフェース、または、例えばフラットパネルディスプレイの大きいもしくは小さいウェハまたはフラットパネルなどの、他の任意の適切な基板のインターフェースとして構築してもよい。図2では2つのロードポートモジュールを示しているが、代替の実施形態では、任意の適切な数のロードポートモジュールがフロントエンド600に組み込まれていてもよい。ロードポートモジュール605は、架空搬送システム、無人搬送車、人力台車、有軌道式無人搬送車から、または任意の他の適切な搬送方法から、基板キャリヤまたはカセット650を受け入れるように構築してもよい。ロードポートモジュール605は、ロードポート640を通してミニ環境660とインターフェース接続してもよい。ロードポート640は、基板カセット650とミニ環境660との間で基板を通過させてもよい。通常、ミニ環境660は、カセット650から、例えば、ロードロック610へ、基板を搬送するための移送ロボット(図示せず)を含んでいる。1つの実施形態では、移送ロボットは、例えば、軌道に取り付けられたロボットでもよく、例えば、その開示内容の全てを本明細書の一部を構成するものとして援用する米国特許第6,002,840号に説明されているような、軌道に取り付けられたロボットでもよい。ミニ環境660は、複数のロードポートモジュール間における基板移送のために、制御された清浄域を提供してもよい。
真空ロードロック610は、図1A〜1Fのモジュール10に実質的に類似してもよく、ミニ環境660とバックエンド620との間に位置し、ミニ環境660とバックエンド620とに接続していてもよい。ロードロック610の基板保持チャンバは通常、後述する弁12および13(図4A参照)に実質的に類似する態様で、大気スロット弁と真空スロット弁とを有してもよい。図面ではスロット弁が一列に並んでいるか、互いに約180度の位置にあるが、実現可能なものとして、代替の実施形態では、実質的に90度の角度を有する基板搬送経路を形成するように、スロット弁を約90度離して設置してもよい。さらに他の代替の実施形態では、スロット弁は互いに、任意の適切な空間関係を有してもよい。チャンバの各スロット弁は、スロット弁の適切なドアによって、互いに独立して閉鎖できるようにしてもよい。スロット弁は、基板を大気フロントエンド600から搬入した後にロードロック610を排気するための、また、窒素などの不活性ガスでロックの通気(ベント:vent)を行う際に搬送チャンバ625の真空状態を維持するための環境隔離を提供してもよい。図2を参照すると、1つの例示的実施形態では、ロードロック610はさらに、基板の基準を処理に望ましい位置に揃えるためのアライナを含むこともできる。代替の実施形態では、真空ロードロックは、処理装置の任意の適切な場所に配置してもよく、任意の適切な基板処理機器を含む任意の適切な構成を有してもよい。
真空バックエンド620は通常、搬送チャンバ625、1つまたは複数の処理ステーション630、および移送ロボット(図示せず)を有する。搬送ロボットは、ロードロック610と様々な処理ステーション630との間で基板を搬送するために、搬送チャンバ625内に配置してもよい。処理ステーション630は、様々な蒸着法、エッチング、またはその他のタイプの処理を通して基板上で操作し、基板上に電気回路またはその他の所望の構造を形成してもよい。典型的な処理には、真空状態を使用する薄膜処理が挙げられるが、これに限定されない。真空状態を使用する薄膜処理には、プラズマエッチングまたはその他のエッチング処理、化学気相成長法(CVD)、プラズマ蒸着(PVD)、イオン注入などのような注入処理、計測、高速熱処理(RTP)、ドライストリップ原子層堆積法(ALD)、酸化/拡散、窒化物の形成、真空リソグラフィ、エピタキシャル成長法(EPI)、ワイヤボンダおよび蒸発法などのほか、減圧を利用するその他の薄膜処理などがある。処理ステーション630は、基板が搬送チャンバ625から処理ステーション630まで通過できるように、またその逆の場合も同様に通過できるように、搬送チャンバ625と接続している。
ここで図3を参照すると、異なる部分を有する別の例示的な基板処理ツールが710で示されている。この例では、処理ツールは直線型処理ツールであるが、そのツールインターフェース部712は通常、搬送チャンバ718の長手軸Xの方向に向いている(例えば、内側に向けて)が、長手軸Xからオフセットされているように、搬送チャンバモジュール718に装着されている。搬送チャンバモジュール718は、他の搬送チャンバモジュール718A、718I、718Jを、上記で本明細書の一部を構成するものとして援用した米国特許出願第11/442,511号に説明されるようなインターフェース750、760、および770に装着することにより、任意の適切な方向に伸長することができる。インターフェース750、760、および770は、本明細書で説明するロードロック10に実質的に類似してもよい。各搬送チャンバモジュール718、719A、718I、および718Jは、処理システム710全体で基板を搬送するための、また、例えば処理モジュールPMなどへの出入りで基板を搬送するための、適切な基板搬送機780を含んでいる。実現可能なものとして、各チャンバモジュールは、隔離された雰囲気または制御された雰囲気(例えば、N2、清浄な空気、真空状態)を保持しうる。代替の実施形態では、搬送チャンバモジュール718、719A、718I、および718Jは、本明細書で説明するロードロック10の特徴を有してもよい。
図1Aおよび1Bを再度参照すると、上に注目したとおり、ロードロックモジュール10は、処理ツールの、例えばそれぞれが異なる雰囲気(例えば、一方に不活性ガスで他方に真空、または、一方に清浄な空気雰囲気で他方に真空/不活性ガス)を有する異なる部分(図示せず)の間で連通してもよい。ロードロックモジュール10は、以下に記載するように、その内部にある複数の基板保持チャンバ14Aや14B(まとめて「チャンバ14」と呼ぶ)を画成することができる。例えば、基板保持チャンバは、それぞれ隔離することができ、モジュールに隣接しているツール部の雰囲気に一致するようにチャンバ内雰囲気のサイクルを有することができる。図中に基板保持チャンバは14Aおよび14Bの2つのみが示されているが、ロードロックモジュール10は、基板保持チャンバを、2つより多くまたは少なく有しうることを理解されたい。下記でさらに詳しく説明するように、この例示的実施形態では、ロードロックモジュールチャンバ14は、チャンバ内雰囲気の急速サイクルを可能にするような、コンパクトなものであってもよい。また、図1C〜1Eを参照すると、基板保持チャンバ14はモジュールの側面に基板搬送開口部16および18を有してもよい。図中に示す搬送開口部16および18の場所は例示的なものに過ぎず、代替の実施形態では、チャンバはモジュールの任意の他の所望の側面(隣辺など)で、開口部と連通してもよい。チャンバの各搬送開口部は、スロット弁12および13の適切なドアによって、互いに独立して閉鎖できるようにしてもよい。
図2A〜2bを参照すると、それぞれモジュール10の断面図を示しているが、この例示的実施形態では、内部モジュールは、2つまたはそれ以上の互いに独立して隔離可能かつサイクル可能な基板保持チャンバ14aおよび14bを画成することができる。この例示的実施形態では、チャンバは後続する形で配置されている。両方のチャンバとも、後述するように、コンパクトなチャンバでもよい。代替の実施形態では、一体化したモジュールは、チャンバをこれより多く有することもでき、少なく有することもできる。例示的実施形態では、各チャンバはモジュールの共通の側面で、適切な弁12および13(例えば大気スロット弁や真空スロット弁)などによって互いに独立して閉鎖可能な搬送開口部を有してもよい。したがって、各チャンバを介する基板の搬送方向は、実質的に平行な軸に沿っている。代替の実施形態では、チャンバは、モジュールの異なる側面上で、対応する搬送開口部を有してもよい。例示的実施形態では、弁は、モジュールが画成するチャンバ部分の外側に位置してもよく、例えば、着脱可能に接続できる(例えば、ボルト締めで)モジュールとして構成してもよい。
図4A〜4Bを参照すると、それぞれモジュール10の断面図を示しているが、この例示的実施形態では、モジュール10内部は、2つまたはそれ以上の互いに独立して隔離可能および/またはサイクル可能な基板保持チャンバ14A、14Bを画成することができる。この例示的実施形態では、チャンバ14A、14Bは積層する形で配置されている。代替の実施形態では、チャンバを並べて配置したり、互いに関連した任意の他の適切な空間関係で配置してもよい。チャンバ14A、14Bの両方とも、上に注目したとおり、コンパクトなチャンバでもよい。代替の実施形態では、一体化したモジュールは、チャンバをこれより多く有することもでき、少なく有することもできる。例示的実施形態では、各チャンバはモジュールのそれぞれの側面で、適切な弁12および13(例えば大気スロット弁や真空スロット弁)などによって互いに独立して閉鎖可能な搬送開口部14AO、14BO(図1B参照)を有してもよい。したがって、各チャンバを介する基板の搬送方向は、実質的に平行な軸に沿っている。1つの例示的実施形態では、チャンバ14A、14Bのそれぞれを介する基板の搬送方向が双方向であるように、チャンバ14A、14Bを構成してもよい。他の例示的実施形態では、チャンバ14A、14Bのうちの1つを介する基板の搬送方向が、チャンバ14A、14Bのうちのもう1つのチャンバを介する基板の搬送方向とは異なるように、チャンバを構成してもよい。例としては、チャンバ14Aでは、基板をフロントエンドユニットから、処理ツールのバックエンドの処理チャンバへ移送することができ、チャンバ14Bでは、基板を処理チャンバからフロントエンドユニットへ移送することができるという例があるが、例はこれに限定されない。代替の実施形態では、図2および図3に関して前述したように、チャンバはモジュールの異なる側面で、対応する搬送開口部を有してもよい。各チャンバ14A、14Bと、それぞれのスロット弁12、13とは、例えば、1つのチャンバ14A、14Bで基板が冷却されるとき、基板はもう一方のチャンバ14A、14Bへ配置されたり、もう一方のチャンバ14A、14Bから取り出されたりすることができるように、互いに独立して操作できる。
この例示的実施形態では、例えば、着脱可能に接続できるモジュール(ボルト締めや、他の適切な解除可能な接続など)として構成されうる弁12、13は、モジュール10が画成するチャンバ部分14A、14Bの外側に位置してもよい。代替の実施形態では、下記でさらに詳しく説明するが、弁モジュールは、モジュール10の壁の中に着脱可能に一体化していてもよい。他の代替の実施形態では、弁または弁の部分は、モジュール10から着脱可能でなくてもよい。
さらに図1Fを参照すると、モジュール10の分解図が示されている(明確性を考慮し、上部締め具20および底部締め具22は図示せず)。この例示的実施形態では、モジュールは、全体の核すなわち骨格フレーム部30、ならびに上部カバー部32および底部カバー部34を備えてもよい。この例示的実施形態では、フレーム部30は、アルミニウム合金などのような任意の適切な材料から作られているワンピース部材(例えば、一体構造など)でもよい。代替の実施形態では、フレーム部はアセンブリであってもよく、任意の適切な材料または部分数で構成されてもよい。図1Fに示す例示的実施形態では、フレーム部30は一般的に、そこに画成されるモジュールの外面と、チャンバの境界とを画成してもよい。図1Fおよび図4Aに示すウェブ部材Wで、モジュール10を区分けし、チャンバの積層を形成してもよい。代替の実施形態では、チャンバは1つ以上のウェブ部材Wを有してもよい。他の代替の実施形態では、任意の適切な方法でチャンバの積層を形成してもよい。例えば、モジュール10は、チャンバのサブモジュールが嵌合しうる一般的な開口部を有してもよい。ここでは、チャンバのサブモジュールは、任意の適切な数のチャンバを有するチャンバの積層などである。実現可能なものとして、チャンバ14A、14Bは、それぞれ上部および底部で締め具32、34で閉じられていてもよい。締め具32、34は、機械的、電気的、および/または化学的締め具などの(ただし、これらに限定されない)任意の所望の接続方法により、フレーム30に嵌合されていてもよい。スロット弁12および13のインターフェースは、図中に示した方法や、下記でさらに詳しく説明するような任意の適切な方法により、フレーム30に嵌合されていてもよい。実現可能なものとして、ロードロックモジュール10は、ロードロックモジュール10によってリンクされているツール部の間を通り基板の移送をする連通モジュールである。したがって、モジュール10の高さは、隣接する部分またはモジュールの高さに関係したり、隣接するモジュール(ロードロックモジュールを介してのスループットを担い、モジュールのサイズやZ駆動装置および/または構造的考察のような要因で区切ることができる)の中での基板搬送装置のZ軸移動などのような要因に依存しうる。図6に図示する貫通モジュール10と回転モジュール15との関係の例が、クラスタツールの基板搬送チャンバ7に嵌合されているロードロックモジュール10を示している。起こりうることとして、搬送装置が行うZ軸移動よりもモジュール10を高くすると、ロードロックの容積が不安定になり、ポンプダウンと通気の時間を増加させてしまうことがある。同様に、搬送装置が行うZ軸移動よりもモジュールを低くすると、搬送装置が可能にしうる全体的な移動幅を活用できなくなり、そのためロードロックモジュールのスループットが過度に制限されることになる。例示的実施形態では、ロードロックチャンバ14A、14Bの特徴により、ロードロックチャンバの積層がモジュール10内で画成される高さを有する構成になっている。先に述べたように、図4A〜4Bに示す例示的実施形態では、モジュール10で2つのロードロックチャンバ14A、14Bが積層して形成されているが、代替の実施形態では、一体モジュールのロードロックチャンバの積層は、それより多いまたは少ない(例えば、3つ以上)ロードロックチャンバを含んでもよい。実現可能なものとして、共通モジュール10のコンパクトな空間エンベロープ内で独立した複数のロードロックチャンバ14A、14Bを提供することで、共通モジュール10を介する制約のない独立した複数の搬送経路を作り出し、モジュール10のスループットを相応に増加させることになる。図1A〜1Eに示す例示的実施形態では、各ロードロックチャンバ14A、14Bは、通常、互いに類似してもよい。1つの例示的実施形態では、ロードロックチャンバ14A、14Bは、ロードロックを区分している中央平面に沿って対向する構成を有してもよい。代替の実施形態では、ロードロックは、異なるサイズおよび/またはタイプの基板を取り扱うような、異なる形態でもよい。図1Fに示すモジュール10は、所望のモジュールを設置することにより、類似するまたは異なる構成でロードロックを構築できるモジュール配置を有してもよい。例示的実施形態では、ロードロックチャンバ14A、14Bは、積層された複数の基板(例えば、図4A〜4Bでは、各チャンバに2つの基板が示されている)を保持するのに十分な高さがあってもよい。代替の実施形態では、ロードロックチャンバ14A、14Bは必要に応じて、これより多い、または少ない積層された基板を保持することが可能でもよい。
図4A〜4Bを再度参照すると、例示的実施形態では、ロードロックチャンバ14A、14Bのそれぞれは、ロードロック内の雰囲気のサイクルが行われている間、ロードロック内で保持された基板の加熱や冷却を行うための加熱装置もしくは冷却装置、または両方を有してもよい。冷却および加熱の機能を有するロードロックの適切な例を、下記に説明する。図4Aの例示的実施形態では、各ロードロックは、例えば、伝導により基板上で熱的に操作する支持棚22A、22Bを有してもよい。この例示的実施形態では、支持棚22A、22Bは、例えばロードロックの通気中に、基板を冷却するように構成されてもよい。例えば、支持棚は、基板冷却面24A、24Bを画成する任意の所望の態様で、例えば支持棚22A、22Bのそれぞれの上表面で、例えば冷却ブロック27のような適切な熱シンクに接続していてもよい。1つの例では、冷却ブロック27は、基板冷却面24A、24Bからの伝熱を供給するための放射フィン(図示せず)を含んでもよく、また他の例では、冷却ブロックは基板冷却面24A、24Bから熱を取り込むための、冷却ブロックに流れる冷却流体を有してもよい。さらに他の例では、冷却ブロック27は、放射フィンと冷却流体の流れとの組み合わせを有してもよい。代替の実施形態では、支持棚は基板加熱面を有してもよい。さらに他の代替の実施形態では、ロードロックは、本明細書で説明するようなロードロック内でガスを加熱するように構成されてもよい。
この例示的実施形態では、各ロードロックは、冷却面24A、24Bを含む2つの支持棚22A、22Bを有する(ただし、先に述べたように、これより多いまたは少ないウェハ冷却面を有してもよい)。実現可能なものとして、支持棚22A、22Bの冷却面24A、24B上に基板を着座させることにより、基板と支持棚22A、22Bの冷却面との間で伝導による熱交換が生じうる。この例示的実施形態では、支持棚は、ソリッドステート装置(例えば、作動可能なまたは動作する機械的な構成要素を有しない装置)でもよい。実現可能なものとして、上記により、支持棚22A、22Bは、最小限の外形およびピッチを有する(そのため、ロードロックチャンバの高さはコンパクトなものになる)。例として、支持棚22A、22Bは、フレーム部30において固定型または静止型でもよく、また、ロードロックチャンバ14A、14Bへの出入りで基板を搬送するための搬送装置のエンドエフェクタEEが基板を取り上げ、冷却面24A、24Bの着座位置に直接置くのに十分である約10mmのピッチを有してもよい(図5A〜5Bおよび図6も参照)。代替の実施形態では、棚は任意の適切なピッチを有してもよい。他の例示的実施形態では、支持棚22A、22Bは、両棚間の所定の間隔に応じて両棚のピッチが調節できるように、フレーム部30に対して可動であってもよい。図4Bに最も明瞭に示されているように、支持棚22A、22Bは、エンドエフェクタEE用の開口型の間隙26を形成するように配置されていてもよい。この例示的実施形態では、支持棚22A、22Bは、エンドエフェクタブレードを収容できるように中間に十分な間隙26を形成するように分割されていてもよい。実現可能なものとして、間隙26により、エンドエフェクタはZ方向で動作し、基板を取り上げ、基板を冷却面に置くことができる。例として、エンドエフェクタEEは、(積層ロードロックのうちの1つの)支持棚22A、22Bの冷却面24A、24Bへの基板の配置を実質的に同時に行えるようなものであってもよい。例えば、ロードロックチャンバが通気されるのと同時に、基板を冷却してもよい。冷却された基板は、ロードロック22Aからの取り上げと搬送が実質的に同時に行われてもよい。基板がロードロックチャンバ14A、14Bのうちの1つで冷却されているとき、(ロードロックモジュール10の)ロードロックチャンバ14A、14Bのうちのもう1つでの操作は、実質的に制約のない態様で行われてもよい。代替の実施形態では、各ロードロックチャンバで行われる操作は、任意の適切な態様で、互いに時系列にリンクされていてもよい。
図1A〜1Bを再度参照すると、例示的実施形態では、各ロードロックチャンバ14A、14B(図4A、4Bも参照)は、対応する真空制御弁40A、40B、および通気弁42A、42B(ディフューザ付またはディフューザなしのものなど)を有し、それぞれのロードロックの雰囲気が独立してサイクルできるようにしてもよい。この例示的実施形態では、真空制御弁40A、40B、および通気弁42A、42Bは、後述するように、相互に交換可能にモジュール内に配置されていてもよい。ここで図1Fを再度参照すると、例示的実施形態では、フレーム部30はその内部にポート36A、37A、36B、37Bを有することができる。ポートは、各ロードロックチャンバ14A、14Bで、それぞれ真空ポート36A、36B、および通気ポート37A、37Bとして画成することができる。図中に示す真空ポートおよび通気ポートの配置は例示的なものであり、代替の実施形態では、真空ポートおよび通気ポートは、任意の他の適切な配置を有しうる。例示的実施形態では、真空ポート36A、36Bはモジュール10の1つの側面に位置し、通気ポート37A、37Bはモジュール10の別の側面に位置してもよい。代替の実施形態では、真空ポートおよび通気ポートは、モジュールの同じ側面に配置されていてもよい。図1Fに示すように、真空ポートおよび通気ポート36A、37A、36B、37Bは、それぞれのロードロック14A、14Bのために、垂直に互いからオフセットされて配置されていてもよい。代替の実施形態では、真空ポートおよび通気ポートは、垂直に一列に配置されたり、任意の他の適切な空間関係を有してもよい。各ポートは、所望の真空弁または通気弁をポートへ(したがってモジュールへも)接続しやすくするための、適切な嵌合インターフェース(例えば、ポートを囲むような)を有してもよい。例示的実施形態では、2つまたはそれ以上の嵌合インターフェース38A、38B、39A、39Bが、それぞれのポートのために、実質的に類似する嵌合配置(例えばマッピングフランジ、封止表面、ボルト締めパターンなど)を有し、補完する嵌合インターフェースを有する任意の弁が、いずれかのポートの嵌合インターフェースと嵌合することができるように構成されてもよい。例として、図1Bおよび図1Fに最も明瞭に示されているように、通気弁は通気弁モジュール42A、42Bに一体化し、各通気弁は類似する嵌合インターフェース42Iを有することができ、どちらかのモジュールがどちらかのチャンバの通気ポートインターフェースに装着するように、相互に交換可能になっている。この例示的実施形態では、一体構造の耐圧ケーシングまたはブートを有しうる通気弁モジュール42A、42Bは、異なる流量または制御構成(絞り弁および/または異なる容量ケース弁など)を提供する通気弁体42VBを有してもよい。こうした例示的実施形態は、別個の通気ポートおよび真空ポートに関して説明されうるが、他の例示的実施形態では、弁は単一のポートを介してチャンバを通気し、真空排気するように構成されてもよいことに注意されたい。例えば、弁は、真空ソースと通気ソースとの間で切り替えを行う、適切な弁機能の性質を有するように構成されてもよい。他の代替の実施形態では、各モジュールは、単一の通気/真空モジュールでチャンバを通気および/またはポンプダウンできるように、通気と真空のポートを有してもよい。
示されている例では、通気弁モジュールケーシング42A、42Bにより、例えば、共通のソースおよび共通の排気孔を有しうる3つの弁体42VBの配置が可能である。弁モジュール42A、42Bは、実現可能なものとして、任意の所望の所定の弁形状を達成するために、任意の所望の数の弁体42VBを提供するように構成されてもよい。代替の実施形態では、モジュール本体42A、42Bは、その内部に弁をさらに多くまたは少なく収容することが可能でもよい。通気モジュール42A、42B、42A’の異なる例示的実施形態が図1A〜1F、および図8A〜8Dに示されている。実現可能なものとして、図中に示す通気モジュールの実施形態は、図示目的のみとする。また、通気モジュールは、通気モジュールを、例えばガス注入口、弁体42VB、およびディフューザ44Aに接続するための、任意の適切なモジュール本体42ABの構成を有してもよい。ただし、こうした異なるモジュールは、異なるモジュール本体42ABとその内部に含まれる弁体42VBとともに、共通の嵌合インターフェース配置42Iを有してもよく、したがって、ロードロックチャンバ10において通気モジュール42A、42B、42A’が相互に交換可能になる。この例示的実施形態では、通気弁モジュール42A、42Bは、適切なディフューザ44Aを含んでもよい。ディフューザ44Aは、例えば、弁モジュール42A、42Bをロードロックチャンバの通気ポート37A、37Bに装着するにあたって、ディフューザ44Aが実質的にロードロックチャンバへの通気ポートの排気面に、または排気面付近に位置するように配置してもよい。1つの実施形態では、通気弁モジュール42A、42Bは、ディフューザ44Aを凹部(またはモジュール本体42AB内のその他の適切なキャビティやスロット)で受け入れる、および/または固定するように構成されてもよい。代替の実施形態では、ディフューザは、例えば通気ポート36A、37A、36B、37Bで、例えばロードロック10の壁に、組み込むか、嵌入させてもよい。
ここで図7A〜7Bを参照すると、別の例示的実施形態によるモジュール10’の斜視図および断面図がそれぞれ示されている。モジュール10’は前述のモジュール10に実質的に類似してもよい。この例示的実施形態では、真空制御弁および通気弁が単一のモジュール40A’、40B’に一体化していてもよい。図7Bに最も明瞭に示されているように、モジュールの構造はその中に形成されたポート36A’、36B’、37A’、37B’を有してもよい。この例示的実施形態では、ポートは概して対称的に配置されていてもよい(例えば、ポートは図示されているように両方の側壁で形成し、モジュールのいずれかの側面に真空および通気の配管の装着があるようにしてもよい)。したがって、各ロードロックチャンバは、真空および通気配管の接続のために、例えば、4つの使用可能なポート(例えば、いずれかの側面に2つのポート)を有してもよい。代替の実施形態では、各ロードロックチャンバは、任意の適切な互いに対する空間関係で、任意の適切な数のポートを有してもよい。例示的実施形態では、ポート36A’、36B’、37A’、37B’の嵌合インターフェース38A’、38B’、39A’、39B’は類似してもよく、例えば、モジュー40A’、40B’を相互に交換可能にしてもよい。代替の実施形態では、1つまたは複数の嵌合インターフェースは類似しなくてもよく、嵌合インターフェースと、対応するインターフェースを有するそれぞれのモジュールとの間で、選択的に交換可能にしてもよい。図7Bに示すように、嵌合インターフェース38A’、38B’、39A’、39B’は、ポートのペアがそれぞれ上下に積層されるように(例えばポート)配置されていてもよい。例えば、ポート36A’および36B’は、同一のモジュール壁で上下に配置し、嵌合インターフェース39A’を共有してもよい。この例示的実施形態では、各弁モジュール40A’、40B’は、任意のポートペアの嵌合インターフェース38A’、38B’、39A’、39B’と相互に交換可能で嵌合されていてもよい。弁モジュール40A’、40B’は、チャンバモジュール10’に嵌合されている場合(図7A〜7B参照)、ポートペアの各ポート(例えば36A’、36B’)と連通するように構成されてもよい。この例示的実施形態では、弁モジュール40A’、40B’は、モジュール本体41B’を有してもよい。モジュール本体41B’は、モジュール10’と嵌合するように構成されている嵌合インターフェースを有してもよい。モジュール本体41B’の嵌合インターフェースは、通気(排気孔)ポートおよび真空(注入口)ポートをそれぞれ有する。真空制御弁40Vは、真空ポートと流体連通するようにモジュール本体41B’に装着されていてもよい。同様に、通気弁42VB’は、通気ポートと流体連通するように、モジュール本体41B’に含まれていてもよい。この例示的実施形態では、弁モジュール構成の結果として、所定のロードロックチャンバ14A’、14B’を通気するためのモジュール40A’、40B’の1つと、ロードロックチャンバ14A’、14B’をポンプダウンするためのモジュール40A’、40B’のもう1つとがある。弁モジュール40A’、40B’は、例えば前述した態様で、通気ディフューザを含んでもよい。図7A〜7Bに示すように、モジュール40A’および40B’は、各モジュールがチャンバ14A’、14B’の両方と連通するように構成されてもよい。例えば、モジュール40B’は、モジュール40B’がチャンバ14A’を通気し、チャンバ14B’を排気する(例えば、真空を介して排出するなど)ように、モジュール10’と結合していてもよい。モジュール40A’は、モジュール40A’がチャンバ14B’を通気し、チャンバ14A’を排気するように、モジュール10’に結合している。実現可能なものとして、ロードロックモジュールが単一のロードロックチャンバを含む場合、単一のロードロックチャンバは、上述したものに実質的に類似した通気ポートと真空ポートのペアを有する排気/通気インターフェースを有してもよい。例えば、単一のロードロックモジュールの複雑性、サイズ、およびコストを低減または最小限に抑えるために、単一のチャンバを単一のモジュールによって排気および通気することができるように、ポートのペアは、モジュール40A’、40B’に実質的に類似する排気/通気モジュールとインタフェース接続するように構成されてもよい。
ここで図9を参照すると、別の例示的実施形態による、ロードロックモジュールの基板支持棚22A、22Bの断面図が示されている。図9には4つの支持棚(例えば、上述のように、各チャンバに2つの棚)が示されているが、例示目的で2つの棚22A、22Bのみについて説明する。残りの基板支持棚は、棚22A、22Bに実質的に類似してもよいことに注意されたい。図9に示す例示的実施形態の支持棚22A、22Bは、前述し、図5Aおよび5Bで示した支持棚に概して類似してもよい。支持棚22A、22Bは静止型でもよく、基板Sを積層状態で支持するために所望のピッチP(例えば、10mm、または10mm以上もしくは未満の任意の他の適切な距離)で配置されていてもよい。1つの例示的実施形態では、支持棚22A、22Bは調節できるものでもよく、ピッチPが調節可能であるように構成されてもよい。さらに他の例示的実施形態では、棚22A、22Bは、下記で詳述するように互いに対して可動であってもよい。代替の実施形態では、支持棚22A、22Bは、例えば所望のピッチPに応じて棚を追加できる(または撤去できる)ようなモジュール式であってもよい。例示的実施形態では、各支持棚22A、22Bは、冷却面24A、24Bを有してもよく、冷却面24A、24Bはそれぞれの1つに対して着座した基板S1、S2を伝導冷却するためのものである。図9に示す例示的実施形態では、各基板支持体22A、22B(示されている支持棚の数は例示的なものであり、代替の実施形態では、これより多くまたは少ない支持棚がありうる)はガスポート54を有してもよい。ガスポート54は図9に概略図で示されているが、支持棚に沿って配置された任意の所望のサイズのポートを任意の数で備えてもよい。ガスポート54は、ポートを介するガスの通過が層流を有し、例えば、パーティクル形成を最小限に抑えるように構成されてもよい。1つの例示的実施形態では、ポート54は任意の適切なディフューザを含んでもよく、他の例示的実施形態では、ディフューザはポート54の上流側に位置してもよい。代替の実施形態では、ディフューザは、それぞれのポートと任意の適切な空間関係を有してもよい。図9に示すように、ガスポート54は、支持棚22A、22Bの冷却面24A、24Bに着座した上下の基板S1、S2のそれぞれの下表面と上表面との間に配置されていてもよい。ポート54は、支持棚22A、22B内で一体化して形成されうる適切な通路を介して、例えば、ロードロックチャンバの通気雰囲気(通気ガスなど)に適したガスの適切な供給源に接続していてもよい。代替の実施形態では、通路は支持棚内で一体化して形成されなくてもよい。図9に示すように、ガスポート54は、露出した基板表面(隣接する冷却基板S1、S2など(図7および図9も参照))の間にある間隙6にガスを排出するように構成されている。例えば、1つまたは複数の支持棚22A、22Bは、間隙すなわち間隙部6(図5Bに示した間隙26に類似する、エンドエフェクタのアクセスを可能にするものなど)を有してもよい。間隙部6により、例えば、棚22A上で冷却中の上の基板が、例えば、棚22B上で冷却中の高温の別の下の基板に(上昇した温度で)さらされることもある。ポート54の間隙6から排気されたガスは、加熱された下の基板S1と上の基板S2の覆いのない表面との間に、断熱部すなわち熱障壁TB(図中に示すサイズおよび位置は例示のみを目的としている)を形成し、下の基板S1による上の基板S2の任意の対流加熱を最小限に抑えるまたは除去してもよい。循環するガスはさらに、伝導冷却に加えて、基板に対流冷却を与えてもよい。実現可能なものとして、また、例として、ガスポート54A、54から排気されたガスは、間隙6内で流通し、間隙6内に停滞したガスを破壊し、したがって、熱い下の基板S1と熱い上の基板S2の露出した表面との間で起きる対流による望ましくない伝熱を破壊してもよい。さらに実現可能なものとして、ポート54A、54から導入したガスは、例えば、チャンバ内に適切に配置された(例えば、間隙6内またはその他の適切な場所)適切な真空またはガス除去ポートから、除去または流通させるようにしてもよい(ガスの循環を起こす)。1つの例では、真空ポートは、ポート54に関して上述した例に実質的に類似した態様で、支持棚22A、22Bに組み込まれていてもよい。他の例では、真空ポートは、真空ポート55に関して図9に示したように、支持棚の間で、チャンバ壁内に配置されていてもよい。したがって、これは基板積層の冷却時間の短縮につながる一方で、積層された基板の冷却の配分が基板積層全体で実質的に一定に維持されうる。実現可能なものとして、ポート54、54Aから排気されたガスは、低速な層流のために、また、上述したように、下の基板の上表面でのパーティクル沈着を回避するために、定義済みのレイノルズ数(Re)を有してもよい。
ここで図10Aおよび10Bを参照すると、別の例示的実施形態によるロードロックモジュール100の分解斜視図が示されている。モジュール100は前述のロードロックモジュール10に実質的に類似してもよい。この例示的実施形態では、モジュール100はさらに、上下に積層された2つのロードロックチャンバ114A、114Bを有してもよいが、代替の実施形態では、ロードロックモジュールは、任意の適切な数の積層チャンバを有してもよい。モジュール100はさらに、冷却チャック120A、120Bを含んでもよい。各ロードロックチャンバ114A、114Bは、その内部に冷却チャックを有する。冷却チャック120A、120Bは、適切なZ駆動装置120Zにより駆動される、Z軸移動が可能である。代替の実施形態では、チャックは水平移動(例えば、XおよびY)が可能であってもよい。チャック120A、120Bは通常、作動時に、例えば、矢印700方向に、互いに向かってまたは離れて移動するように、図示のように概して対向構成で配置されている。図10Bを参照すると、待機位置と称することのできる状態にあるロードロック付きモジュール100の断面図が示されている。各ロードロックチャンバ114A、114Bは、支持棚122A、122Bを有し、各ロードロックチャンバ114A、114B内で2つの基板S1、S2を支持してもよい(代替の実施形態では、これより多いまたは少ない基板が提供されてもよい)(図11Cも参照)。この例示的実施形態では、支持棚122Aは静止型(例えば、チャンバ構造に固定されている、またはチャンバ内で任意の他の適切に固定された構造を有する)でもよく、支持棚122Bは可動型(例えば、可動チャック120A、120Bまたは任意の他の適切な可動棚支持体に従属している)でもよい。代替の実施形態では、支持棚は両方とも静止型でもよく、また別の代替の実施形態では、支持棚は両方とも可動型でもよい。1つの例示的実施形態では、棚122Bは、伸長部すなわち棚支持体121A、121Bによって、支持されたり、チャック120A、120Bに接続していてもよい。伸長部121A、121Bは、チャック120A、120Bおよび/または棚122Bのそれぞれの1つとの一体構造であってもよい。代替の実施形態では、棚支持体121A、121Bは任意の適切な構成を有してもよい。図10Bに示すように、伸長部121A、121Bは、固定された棚122Aがチャック120A、120Bの表面124Aと棚122B(棚122Bは伸長部121A、121Bに従属する)との間に位置するように、表面124Aから離れて伸長する。実現可能なものとして、チャック120A、120Bが退避位置にあるとき、例えば基板搬送機のエンドエフェクタが棚122Bに基板を配置できるような十分な隙間が、棚122Aと棚122Bとの間にある。
この例示的実施形態では、支持棚は、例えば移送アームエンドエフェクタのZ移動によって基板が取り上げられる、または実質的に各支持棚122A、122Bにロードされるように配置してもよい。代替の実施形態では、支持棚および/またはチャンバは、エンドエフェクタから基板を持ち上げられるように可動型であってもよい。チャック120A、120Bは、基板をロードロックチャンバ114A、114Bへロードしたり、ロードロックチャンバ114A、114Bからアンロードするために、図10Bに示すように(図11Dも参照)、揃って開状態の退避位置にあってもよい。例示的実施形態では、ロードロックチャンバ114A、114Bの支持棚122A、122B上で基板を実質的に同時に冷却できるように、チャック120A、120Bの位置は変更できるものであってもよい(Z軸(すなわち矢印700の方向)で、例えば、閉鎖位置と称することのできる位置へ。図11Eも参照)。代替の実施形態では、チャックは、基板の加熱、または冷却と加熱の両方を行うことが可能でもよい。図10Bに示す例示的実施形態では、チャック120A、120Bは、伝熱接触面124A(例えば伝導冷却面)を有してもよい。チャック120A、120Bの伝熱面124Aは、適切な熱シンク152Aに熱的に連通可能で接続していてもよい。この例示的実施形態では、図10Bに示すように、この熱的連通は、チャックおよびチャンバでそれぞれインターフェースする放熱器フィン150A、152Aとして、概略図で示されている。放熱器フィンは、チャックのZ方向の自由な移動を可能にするように構成されている。代替の実施形態では、熱シンクは任意の適切な構成を有することができる。図7Bに示すように、各チャンバ114A、114Bは、チャック120A、102Bから通常反対側に位置しうる静止型の伝熱接触面124B(例えば冷却面)を有してもよい。さらに図11Aを参照すると、モジュール100には開放位置にある冷却チャック120A、102Bがあり、基板S1、S2はロードロックチャンバ114A、114Bの各支持棚にロードされている。前述したように、基板を冷却するために、チャック120Aは閉鎖位置まで移動できる(Z方向へ)ものであってもよい。例えば、図11Bに示すように(開放位置と閉鎖位置にあるチャックをそれぞれ示している斜視断面図である図11D〜11Eも参照)。チャック120Bの動作は、チャック120Aの動作に実質的に類似してもよいことに注意されたい。チャック120A、120Bのそれぞれは、互いに独立して動作可能でもよく、図11Bでは例示目的のみのために、閉鎖状態のチャック120A、120Bを示している。代替の実施形態では、ロードロックチャンバ114A、114Bのうちの1つに位置するチャック120A、120Bは開放状態で、ロードロックチャンバ114A、114Bのうちのもう1つに位置するチャック120A、120Bのうちのもう1つは閉鎖状態でもよく、または、開放と閉鎖との間で任意の他の所望の位置にあってもよい。図11Bに示すように、閉鎖位置への動作により、チャックが運ぶ基板S1、S2(例えば、棚122Bにある基板)は積層冷却面124Bに向かって移動し、実質的に積層冷却面124Bに接触し、チャックの冷却面124Aは静止型棚122Aにある各基板S1、S2実質的に接触する。したがって、チャック120A、120B とロードロックチャンバ 114A、114Bとの間の異なる動作により、複数の基板が実質的に同時に冷却される。チャック120A、120Bは、開放位置に戻って基板S1、S2をアンロードしてもよい。代替の実施形態では、チャックは、開放位置に戻ることなく基板S1、S2の除去(および挿入)ができるように構成されてもよい。
図12A〜12Bは、別の例示的実施形態によるモジュールの断面図であり、冷却チャック熱交換器構造1000を示している。熱交換器構造1000では、適切な管路1001が熱交換流体をチャック120A、120Bのヘッドに導き、所望の温度で伝熱面を維持する。流体管路1001は、前述したように、例えばチャックの3軸移動を可能にするような可撓性を有してもよい。代替の実施形態では、剛体または半剛体でもよい流体管路1001と、チャック120A、120Bとの間のインターフェースは、インターフェースの封止用に適切な封止部材を有し、管路1001とチャック120A、120Bとの間の相対運動を可能にするようなスライド式またはテレスコープ式のインターフェース/カップリングでもよい。
さらに図12Aおよび図12Bに示すように、熱交換器構造はさらに、熱交換流体を静止型伝熱面124Bに導くための管路1002を含んでもよい。冷却流体は、水、油、空気、またはチャック120A、120Bおよび静止型伝熱面120Bから伝熱できる任意の他の適切な流体などの(ただし、これらに限定されない)任意の適切な流体でもよい。冷却チャック熱交換器構造は、例えば、チャック120A、120Bおよび/または静止型伝熱面120Bを出入りする循環中に流体を冷却するための適切な供給ラインと戻りラインを有する放熱器などの、任意の適切な流体温度制御装置(図示せず)を含んでもよい。なお、冷却流体供給ライン(例えば、冷却をチャックおよび静止型伝熱面に搬送するライン)は、例示目的のみで示されていることに注意されたい。代替の実施形態では、チャック120A、102Bおよび静止型伝熱面124Bは、別々の冷却ラインおよび/または別々の熱交換器システムから供給を受けてもよい。
ここで図13を参照すると、別の例示的実施形態による、モジュール100’のロードロックチャンバ114A’の部分断面図が示されている。モジュール100’は、モジュール100に類似してもよく、可動型チャック120A’を含んでもよい。チャック120A’は、上述した態様に実質的に類似した態様で、チャック120A’に従属する基板支持棚を有してもよい。ロードロックチャンバ114A’は、ロードロックチャンバ114A’に従属する基板支持棚122A’を有してもよい。チャック120A’は伝熱面124A’を有してもよい。ロードロックチャンバ114A’は、ロードロックチャンバ114A’に配置される伝熱面124B’を有してもよい。伝熱面124B’は、適切な熱交換器手段を介して、熱源+qに連通可能に接続していてもよい。この例示的実施形態では、例えば、ロードロック支持棚122A’上の基板とチャック表面124A’との間の、または、チャック支持棚122B’上の基板とロードロック表面124B’との間の間隙を減少させるために、ロードロックのポンプダウン中にチャックを作動してもよい。基板と隣接する表面との間の間隙の減少により、ガスの温度が上昇し、ポンプダウン時のパーティクル形成が軽減される。
さらに、熱表面124A’、124B’は、ロードロックのポンプダウン時におけるパーティクル形成がより軽減されるように、チャックの動作との組み合わせ、または熱表面のみの方法で、熱をガスへ導くように加熱してもよい。
ここで図14A〜14Eを参照すると、1つの例示的実施形態による、例示的なロードロック10100が示されている。この例示的実施形態は、大気ドアまたは大気スロット弁に関して説明するものであるが、本明細書で開示する実施形態は、基板処理機器で使用する真空ドアまたは真空スロット弁に同等に適用されうることを理解されたい。
この例では、ロードロック10100は、第1のロードロックチャンバ10140および第2のロードロックチャンバ10150を有する積層ロードロックとして構成されている。代替の実施形態では、ロードロックは任意の適切な構成を有してもよい。各ロードロックチャンバ10140、10150は、上述したような構成などの(ただし、これに限定されない)任意の適切な構成を有してもよい。例えば、ロードロックチャンバ10140、10150は、デュアルロードロックチャンバ(すなわち、各ロードロックが2つの基板を保持するように構成されている)、または単一のロードロックチャンバ(すなわち、各ロードロックが1つの基板を保持するように構成されている)として構成されてもよい。代替の実施形態では、各ロードロックチャンバ10140、10150は、2個を超える数の基板を保持するように構成されてもよい。各ロードロックチャンバ10140、10150は、大気ロードロックドア10130、10120と、真空ロードロックドアすなわちスロット弁10160、10161を有してもよい。この例では、大気ロードロックドア10130と真空スロット弁10160はそれぞれ、ロードロックチャンバ10140の大気ドアと真空ドアであり、ロードロックドア10120とスロット弁10161はそれぞれ、ロードロックチャンバ10150の大気ドアと真空ドアである。大気ドア10130、10120は、ロードロックが装置フロントエンドモジュール(EFEM)などの(ただし、これに限定されない)大気処理ユニットに結合するようにしてもよい。一方、スロット弁10160、10161は、ロードロックが、例えば、図2および図3に関して上述した処理モジュールなどの(ただし、これに限定されない)真空モジュールに結合するようにしてもよい。
図14Aおよび図14Dに示すロードロック10100は、大気ドア10130、10120を有し、閉鎖位置にある。一方、図14Bおよび図14Eでは、大気ドア10130、10120を有し、開放位置にあり、それぞれのロードロックチャンバ10140、10150への出入りの際の基板の通過を可能にしている。さらに図15を参照すると、ロードロックドアは駆動モジュール10200、10210などの、1つまたは複数の駆動モジュールを介して操作される。この例では、駆動モジュール10200、10210は、例示目的でドア10130、10120のいずれかの側面が示されている。他の例示的実施形態では、ドア10130、10120のいずれかの側面に位置する駆動モジュールが1つあるだけでもよく、また、後述するように、ドアを適切に支持できるように、適切な軸受モジュールをドアのもう1つの側面に配置してもよい。さらに他の代替の実施形態では、ドアに対して任意の適切な位置にある任意の適切な数の駆動モジュールがあってもよい。駆動モジュール10200、10210は、図14Bおよび図14Cに示すように、基板移送域10110の外側に位置することに注意されたい。駆動モジュール10200、10210を基板移送域の外側に配置することにより、基板の上にある可動部分によって生じるパーティクルから基板を保護するために用いられる保護ベローズやパーティクルシールドの必要性がなくなる可能性もある。
駆動モジュール10200、10210は、少なくとも部分的にはロードロックチャンバの封止接触面10230の前に位置してもよい。代替の実施形態では、駆動モジュールは、任意の適切な態様で、封止接触面10230の前または後ろに位置するように、適切に構成されてもよい。封止接触面10230は、大気ドア10130、10120と相互作用し、ロードロックチャンバ10140、10150内の雰囲気への漏出、またはロードロックチャンバ10140、10150内の雰囲気からの漏出を防ぐためのシールを形成するような、ロードロック10100の表面でもよい。1つの例示的実施形態では、駆動モジュール10200、10210は、例えば機械的締め具、化学的締め具、粘着剤、または溶接などでロードロック10100の表面に結合しているモジュール式ユニットでもよい。実現可能なものとして、駆動モジュール10200、10210は、ロードロック10100の表面に永久的または着脱可能に結合していてもよい。他の例示的実施形態では、駆動ユニットは、駆動モジュールがロードロックハウジングの一部を形成するように、ロードロック10100に一体化していてもよい。図中に示す例示的実施形態では、駆動モジュール10200、10210は、その一部がロードロックハウジングに組み込まれている。駆動モジュール10200、10210は、後述するように、駆動モジュール内に位置する駆動装置10210A、10210B、10200A、10200Bにアクセスできるように、適切なアクセスパネルまたはカバーを含んでもよい。代替の実施形態では、駆動装置10210A、10210B、10200A、10200Bへのアクセスは、任意の適切な態様で行われてもよい。
駆動モジュール10200、10210はそれぞれ、上駆動アクチュエータ10200A、10210Aと、下駆動アクチュエータ10200B、10210Bを、それぞれ含んでもよい。駆動装置アクチュエータ10210A、10210B、10200A、10200Bは、油圧式駆動装置、空気圧式駆動装置、圧力差式駆動装置、電気回転式または直線型駆動装置、および磁気駆動装置などの(ただし、これらに限定されない)任意の適切な駆動装置でもよい。駆動アクチュエータは、1軸または2軸の駆動装置10210A、10210B、10200A、10200Bとして構成されてもよい。代替の実施形態では、駆動装置は2軸以上の駆動装置であってもよい。駆動装置は、パーティクルの発生や基板の汚染を最小限に抑えるために、ドア10130、10120が開放されているとき、ドアが接触面10230から離れて動くように構成されてもよい。また、駆動装置は、パーティクルの発生を最小限に抑える態様で、ドア10130、10120が封止接触面10230に接触するように構成されてもよい。
上駆動アクチュエータ10200A、10210Aが互いに連動してドア10130を開閉する一方で、下駆動アクチュエータ10200B、10210Bは互いに連動してドア10120を開閉してもよい。この例では、ドア10130、10120は、例えば、1つのドアが開閉する一方でもう1つのドアは閉鎖したままになっていたり、1つのドアが開く一方でもう1つのドアは閉鎖しているというように、個々に操作可能でもよい。代替の実施形態では、両方のドアが同時に開き、両方のドアが同時に閉まるように、各駆動モジュール10200、10210にそれぞれのドアに結合している1つの駆動装置があってもよい。さらに他の代替の実施形態では、1つのドアが開くともう1つのドアが閉じるというように、各駆動モジュール10200、10210内の単一の駆動装置は、それぞれのドアに異なって結合していてもよい。さらに他の代替の実施形態では、駆動モジュール10200、10210のうちの1つのみが1つまたは複数の駆動装置を含む一方で、駆動モジュール10200、10210のうちのもう1つは第1の駆動モジュールによって受動的に駆動されてもよい。例えば、駆動モジュール10210は、ドア10130、10120を適切に支持し、駆動する一方で、駆動モジュール10200は、ドア10130、10120を支持してドアの動作を可能にするために適切な直線型の軸受を含んでいる。
さらに図15を参照すると、各駆動モジュール10200、10210は、接触面10230の前に位置し、各大気ドア10130、10120がそれぞれの駆動装置に結合するように構成されている開口部を含んでもよい。例えば、駆動モジュール10200は、ドア10130が上駆動装置10200Aと結合するように開口部10203を含んでもよく、ドア10120が下駆動装置10200Bと結合するように開口部10204を含んでもよい。この例では、開口部は、ドア接触面10230に対して実質的に直角でもよいが、代替の実施形態では、開口部は、ドア接触面10230に対して実質的に平行でもよい。他の代替の実施形態では、開口部は、接触面10230に対して任意の適切な空間関係を有してもよい。駆動モジュール10210は、ドア10130が上駆動装置10210Aと結合するように開口部10201を含んでもよく、ドア10120が下駆動装置10210Bと結合するように開口部10202を含んでもよい。1つの例示的実施形態では、駆動装置によって発生するパーティクルが抑制され、ロードロック10100に出入りする任意の基板を汚染しないように、開口部10201〜10204は、ベローズシールなどの(ただし、これに限定されない)任意の適切なシールを含んでもよい。ドア10130、10120は、任意の適切な態様で、それぞれの駆動装置と結合していてもよい。例えば、ドア10130は、リンク10204Aで上駆動装置10210Aと、リンク10204Bで上駆動装置10200Aと結合していてもよい。図中に示すように、リンク10204A、10204Bは接触面10230と実質的に平行であってもよいが、例えば、パーティクルの発生を回避するために、適切な間隔で接触面10230から離れていてもよい。1つの例示的実施形態では、リンクはそれぞれのドア10130、10120から伸長してもよく、ドアと一体構造であってもよい。他の例示的実施形態では、ドアおよびそれぞれのリンクは、リンクが任意の適切な態様でドアに結合しているアセンブリでもよい。ドア10120は、リンク10203Aで下駆動装置10210Bと、リンク10203Bで下駆動装置10200Bと結合していてもよい。リンク10204A、10204B、10203A、10203Bは、パーティクルの発生および基板の汚染を実質的に防止するまたは最小限に抑えるために、接触面10230とリンクとの間に十分な隙間が存在するように、接触面10230の前に位置することに注意されたい。代替の実施形態では、リンク10204A、10204B、10203A、10203Bは、接触面10230と任意の適切な空間関係を有し、パーティクルの発生を最小限に抑えるように構成されてもよい。リンク10204A、10204B、10203A、10203Bは、ドアの開閉時にドアがロードロック10100の接触面10230に常に平行であるように、それぞれの駆動装置10210A、10210B、10200A、10200Bに結合していてもよい。代替の実施形態では、リンク10204A、10204B、10203A、10203Bは、ドアの開閉時にドアが接触面10230に対して回転できるように、それぞれの駆動装置に結合していてもよい。
図中に示す開口部10201〜10204は、ドア10130、10120がロードロックの接触面10230に実質的に平行である実質的な直線に沿って移動できるように、実質的に直線の形状であることに注意されたい。他の例示的実施形態では、下記で詳述するように、開口部10201〜10204は任意の適切な形状を有してもよい。ロードロックチャンバ10140、10150内の雰囲気への漏出、またはロードロックチャンバ10140、10150内の雰囲気からの漏出を防ぐために、ドア10130、10120と接触面10230との間に任意の適切なシールがあってもよい。この例示的実施形態のシールは、ドアの開閉時に摩擦およびパーティクルの発生を最小限に抑えるように構成されてもよい。他の例示的実施形態では、ドアの摩擦の防止、および/または接触面10230に対しての封止のために、開口部10201〜10204は角度をつけて、すなわち、図15A、15Bに示すように、ドア10130、10120の開放時に、ドア10130、10120が接触面10230から離れて移動するように構成されてもよい。図15Aでは、ドアが矢印Tの方向に移動するとき、開口部10201’が接触面10230から離れるようにドアを導き、逆もまた同様に行うように、開口部10201’は接触面10230から角度をつけて位置している。図15Bでは、開口部10201’’はカム構成を有している。カム構成は、ドアが矢印Tの方向に移動するときは、ドアを接触面10230から離して導き、ドアが矢印Tとは反対の方向に閉じるときは、ドアを接触面10230に向けて導き、ドアと接触面10230との間に封止作用を奏する。駆動装置10210A、10210B、10200A、10200Bは、図15A、15Bに関して説明するように、適切にリンク10204A、10204B、10203A、10203Bと結合し、ドア10130、10120のカム作用を可能にしてもよい。他の例示的実施形態では、リンク10204A、10204B、10203A、10203Bとそれぞれの開口部との間で実質的に全く接触がないように、図中に示すように、ドアは開口部内で、2軸駆動装置が駆動してもよい。代替の実施形態では、任意の適切な態様でドアを駆動してもよい。
ここで図16および図16A〜16Cを参照すると、1つの例示的実施形態による、例示的なロードロック10100’が示されている。図16では、ロードロックは、(特に断りのない限り)上述のロードロック10100に実質的に類似してもよく、基板処理システムの一部として示され、ここではロードロック10100’は処理モジュール10300に結合している。この例では、ロードロック10100’は、単一のチャンバロードロックとして構成されてもよい。代替の実施形態では、ロードロック10100’は任意の適切な数のチャンバを有してもよい。ロードロック10100’は、チャンバ10150’、封止接触面10230’、大気ドア10320、および駆動モジュール10310、10330を含んでもよい。上に注目したとおり、駆動モジュール10310、10330は、図16Aに示すように、基板移送域10110の外側に位置する。駆動モジュール10310および10330は、駆動装置10310A、10330A、および開口部10302を含んでもよい。駆動装置10310A、10330Aは、上述の駆動装置10200A、10200B、10210A、10210Bに実質的に類似してもよい。他の例示的実施形態では、図14A〜Eおよび図15に関して上述したように、ロードロック10100’は、ドアのいずれかの側面にドア10320の動作を支持し、発生させる1つの駆動装置を有しうる一方で、ドアのもう1つの側面には、ドア10320の動作を支持し、可能にするための受動的な軸受が配置されうることに注意されたい。開口部10302は、上述の開口部10201〜10204に実質的に類似してもよい。この例では、上述のリンク10204A、10204B、10203A、10203Bに実質的に類似するリンク10304が、上述の態様のような任意の適切な態様で、スロット10302を介して大気ドア10320を駆動装置10310A、10330Aに結合してもよい。代替の実施形態では、ドア10320は、任意の適切な態様で、駆動装置10310A、10330Aに結合していてもよい。ロードロック10100’はさらに、真空弁またはドア10321を含んでもよく、真空弁またはドア10321は、ドア10320に実質的に類似してもよく、ドア10320に関して説明した様態に実質的に類似した様態で動作することに注意されたい。代替の実施形態では、真空弁またはドア10321は、任意の適切な構成を有してもよい。
ここで図17および図18を参照すると、1つの例示的実施形態による、例示的なロードロック20100が示されている。この例では、ロードロック20100は、大気インターフェース20101が真空インターフェース20102に対して実質的に90度の位置にある直角ロードロックとして構成されている。代替の実施形態では、ロードロックは、大気インターフェース20101が真空インターフェース20102と任意の適切な角度関係または空間関係を有するような、任意の適切な構成を有してもよい。
この例示的実施形態では、ロードロック20100の大気インターフェース20101は、ロードロックドアインサート20130、大気ドア20120およびドア駆動ユニット20125を含んでいる。ロードロック20100の真空インターフェースは、大気インターフェース20101に関して説明した態様に実質的に類似して構成されてもよいことに注意されたい。代替の実施形態では、真空インターフェースは任意の適切な構成を有してもよい。ドア駆動ユニット20125は、例えば、実質的に矢印H1の方向にインサートフェース20150から離れて、次に、実質的に矢印V1の方向に基板開口部20140から離れてドアを移動させることによって、大気ドア20120を開くように構成されている。さらに図20を参照すると、駆動ユニット20125は、実質的に逆の態様で、大気ドア20120を閉じるように構成されてもよい。例えば、駆動ユニットは、ドア20120が基板開口部20140と並ぶように、ドアを実質的に矢印V2の方向に移動させ、次に、ドアが開口部20140を覆う形で配置されるように、ドアを実質的に矢印H2の方向に移動させるようにしてもよい。図17に示すように、ドア20120の駆動ユニット20125は、ドア20120の下に位置するが、代替の実施形態では、駆動装置は、図14A〜16Cに関して上述したようにドアの側面に位置するなど(ただし、これに限定されない)、ドアに対して任意の適切な場所にあってもよい。駆動ユニット20125は、空気圧式、電気式、油圧式、および磁気式駆動ユニットなどの、任意の適切な駆動装置でよいが、これらに限定されない。ドアが開口部の上に移動すると、ドアシール20300のインサートフェース20150に対する圧縮により、ドア20120とドアインサートフェース20150との間に封止作用が生じる。
さらに図17および図20を参照すると、大気ドア20120は、例えば、1つまたは複数の駆動シャフト20126の使用など、任意の適切な態様で、駆動ユニット20125に適切に接続していてもよい。ドア20120は、ドアの一部分がインサートフェース20150に重なり、基板開口部20140の周辺に封止作用が形成されるように、基板開口部20140に適合できる適切な大きさであってもよい。任意の適切なシール20300は、インサートフェース20150とインターフェース接続するドア表面20120Iの周囲と結合していてもよい。シール20300は、ドア表面20120Iとインサートフェース20150との間で封止作用を奏する任意の適切な材料で構成されてもよい。
ドアインサート20130は、ロードロック20100の大気インターフェース20101の表面20310で、対応する形状の開口部20330に挿入されていてもよい。ここで図8〜12を参照すると、ドアインサート20130は、金属、プラスティック、セラミック、複合材料、またはこれらの材料の任意の組み合わせなどの(ただし、これらに限定されない)任意の適切な材料で構成されてもよい。インサート20130は、外周部20350および内チャネル部20360を含んでいる。外周部20350は、ロードロック20100の大気インターフェース20101に耐摩耗性と保護を提供する、任意の適切な厚さTを有してもよい。外周部20350は、図20および図21に示すドアが閉鎖位置にあるとき、外周部がドア20120の端を超えて延びるように、任意の適切な大きさの長さLおよび高さDを有してもよい(図17参照)。他の例示的実施形態では、インサート20130の外周部20350の長さLおよび高さDは、インサートがドアシール20300は超えるがドアの端は越えないで延びるような、適切な大きさであってもよい。代替の実施形態では、インサートの外周部20350は、任意の適切な寸法を有してもよい。外周部20350は、例えば、その外周に相隔てた開口部20210を有してもよい。開口部は、例えば、インサート20130をロードロック20100に着脱可能に結合するためのボルトやネジなどの(ただし、これらに限定されない)着脱可能な締め具を通すような、任意の適切な開口部であってもよい。代替の実施形態では、インサート20130は、化学的、磁気的、および真空利用の結合などの(ただし、これらに限定されない)任意の適切な態様で、ロードロック20100と結合していてもよい。
内チャネル部20360は、ロードロック20100へ出入りで基板を通過させるための基板開口部20140を形成してもよい。図17に最も明瞭に示されているように、内チャネル部20360によって形成された開口部20140は、基板および基板を運ぶ搬送ロボットの少なくともエンドエフェクタ(またはエンドエフェクタの部分)が開口部20140を通過できるような構成である、任意の適切な形状を有してもよい。1つの例示的実施形態では、チャネル部20360は、チャネル部20360の壁と、基板および少なくともエンドエフェクタとの間で最小限の隙間が提供されるようなサイズであってもよい。内チャネル部20360は、図21に最も明瞭に示されているように、任意の適切な距離D2で、外周部20350の背面20400を超えて突出していてもよい。この例では、内チャネル部20360は、ロードロックチャンバ20420の内表面20410まで延びている。代替の実施形態では、内チャネル部20360は、ロードロックチャンバ20420の内表面20410を超えて、または内表面20410の前に延びていてもよい。他の代替の実施形態では、チャネル部20360は、外周部20350の背面20400を超えて延びていなくてもよい。
ロードロック大気インターフェース20101の表面20310上の、対応する開口部は、外凹部20330および内チャネル開口部20340を有してもよい。外凹部20330は、インサート20130の外周部20350および凹部20330の周りに十分な隙間が存在し、凹部20330でインサート20130の挿入および除去が可能なように、インサート20130の外周部20350の厚さTに実質的に等しい深さ、ならびにインサート20130の長さLおよび高さDを超える長さおよび高さを有してもよい。代替の実施形態では、外凹部は任意の適切な寸法であってもよい。さらに他の代替の実施形態では、外凹部は、インサート20130と締り嵌めまたはプレス嵌めで構成されてもよい。内チャネル開口部20340は、内チャネル開口部20340とインサート20130の内チャネル部20360との間に適切な隙間が存在し、インサート20130の除去および挿入が簡単にできるような、適切な大きさでもよい。代替の実施形態では、インサート20130が外凹部20330および内チャネル開口部20340に挿入される際に、締り嵌めまたはプレス嵌めが形成されるように、隙間は最小限でもよい。実現可能なものとして、図中ではインサート20130は凹部20330に位置しているが、代替の実施形態では、インサート20440の背部(図21参照)は、ロードロック20100の表面20310と相互に作用してもよい(例えば、インサートは表面20310に埋め込まれていないなど)。
図21に最も明瞭に示されているように、外凹部20330の背面20400は、内チャネル開口部20340に外接するチャネル20221を含んでいる。この例では、チャネル20221は、着脱可能な締め具のために、内チャネル開口部20340と開口部20210との間に位置している。代替の実施形態では、チャネル20221は、着脱可能な締め具のために、内チャネル開口部20340および開口部20210に対して任意の適切な関係で位置していてもよい。さらに他の代替の実施形態では、チャネルは、内チャネル部20360と内チャネル開口部20340との間に位置していてもよい。チャネル20221は、例えば、Oリングまたは任意の他の適切なシール20220を受け入れ、保持するように構成されてもよい。シール20220は、インサート20130がロードロック20100に結合しており、締め具が、例えば、シール20420を圧縮しているときに、凹部20330の背面20400とインサート20130との間で封止を生じさせるような、任意の適切な材料で作られていてもよい。シール20420は、ロードロック20100のポンプダウン時または通気時に、ロードロック20100内の真空またはその他の制御された雰囲気の維持を可能にするものでもよい。表面20310に凹部がない代替の実施形態では、シール20420は、例えば、インサート20130とロードロックフレームとの間を封止するための表面20310に位置していてもよい。他の代替の実施形態では、ロードロック20100内の真空は、任意の適切な態様で維持されてもよい。
ロードロック使用の操作で、基板および/または移送ロボットは、インサート20130の封止表面20150に衝撃を与え、表面20150に傷またはその他の損傷を与えうる。ドアシール20300に付着した屑または磨耗したドアシール20300も、表面20150に損傷を与えうる。不完全なドアの動きがあっても、ドアが表面20150に衝撃を与え、損傷を起こしうる。表面20150へのこうした傷やその他の損傷により、ロードロック20100内の雰囲気の漏出が起こりうる。ロードロック20100を基板処理システムから取り外し、修理のために例えば機械工場に送るのではなく、ロードロックの使用者が損傷したインサート20130を取り除いて新しいインサートに取り替えて、ロードロックおよび関連する処理機器のダウンタイムを最小限に抑えることが可能である。損傷したインサートは、インサートの再利用が可能なように、表面20150が機械加工または他の方法で修理できるように構成されてもよい。
本明細書で説明するような着脱可能なインサート20130は、損傷した封止表面のためにロードロックを機械加工したり、交換する必要なしに、例えば、ロードロック20100の大気インターフェース20101を維持するための、素早く、費用効率が高い方法を提供している。インサート20130とロードロック20100との間のシール20220は、ロードロックチャンバ20420内の真空またはその他の雰囲気を維持する。実現可能なものとして、本明細書で説明するような着脱可能なインサートは、基板処理システムの任意の適切なドアに組み込まれていてもよい。
図22に示すように、ロードロックモジュール50100は、チャンバ50135を形成するフレームすなわちハウジング50130を含んでもよい(図示目的によりチャンバの上部は除かれている)。1つの実施形態では、チャンバ50135は、外部の雰囲気から隔離可能なものでもよく、例えば、真空または任意の他の適切な制御された清浄な雰囲気を維持できるものであってもよい。チャンバ50135は、ロードロックモジュール50100の側面に基板搬送開口部50116、50118を有してもよい。図中に示す搬送開口部50116および50118の場所は例示的なものに過ぎず、代替の実施形態では、チャンバはモジュールの任意の他の所望の側面(隣辺など)で、開口部と連通してもよい。チャンバの各搬送開口部は、チャンバ50135を外部の雰囲気から封止し、隔離するための、任意の適切なドア/スロット弁50120(1つのみ図示されている)により、互いに独立して閉鎖可能であってもよい。1つの例示的実施形態では、基板搬送装置50110は、モジュール50100を介して基板Sを搬送するために、少なくとも部分的にチャンバ50135内に位置していてもよい。他の例示的実施形態では、図1Aおよび図1Bに関して後述するように、ロードロックモジュール50100は、例えば、装置フロントエンドモジュールおよび/または真空バックエンドなどのような処理ツールまたはシステムの他の部分に位置する基板搬送機によって、基板がロードロックモジュールに配置され、ロードロックモジュールから取り除かれるような、基板搬送機を有しないこともある。さらに他の例示的実施形態では、ロードロックモジュール50100は、アライナ、加熱装置、冷却装置、計測装置などの(ただし、これらに限定されない)任意の適切な基板処理装置を含むことができる。
この例では、搬送装置50110は、駆動部(図示せず)と回転可能に結合している上アーム50111を有するものとして示されている。代替の実施形態では、搬送装置は、任意の適切な数の上アームを有してもよい。2つの前アーム50112、50113は、エルボジョイントで上アーム50111の端部と回転可能に結合している。代替の実施形態では、搬送装置は、上アームに結合している前アームを、2つより多くまたは少なく有してもよい。実現可能なものとして、各前アーム50112、50113は、エンドエフェクタまたは1つもしくは複数の基板を保持するように構成されている基板ホルダ50410(図23A参照)を含んでいる。適切な搬送装置の例は、2005年7月11日出願の「Unequal Link Scara Arm」と題する米国特許出願第11/179,762号、2005年4月12日出願の「Fast Swap Dual Substrate Transport For Load Lock」と題する米国特許出願第11/104,397号、および米国特許第6,918,731号に開示されており、当該特許出願および特許の開示内容の全てを本明細書の一部を構成するものとしてここに援用する。代替の実施形態では、搬送装置50110は、軸受駆動装置、セルフベアリング駆動装置、および磁気浮上のアームマグネットまたはリンクを有する搬送装置などの(ただし、これらに限定されない)任意の適切なアームリンク構成を有する、任意の適切な搬送装置であってもよい。
下記で詳述するように、モジュール50100は、モジュール50100およびモジュール50100が結合している処理ツールを通過する基板Sのスループットを最大限に伸ばす一方で、同時に、ロードロックモジュール50100のポンプダウンと通気のサイクル時に、基板を汚染しうるパーティクルの発生を最小限に抑えるように構成されてもよい。
上に注目したとおり、ロードロックモジュール50100は、処理ツールの、例えばそれぞれが異なる雰囲気(例えば、一方に不活性ガスで他方に真空、または、一方に清浄な空気雰囲気で他方に真空/不活性ガス)を有する異なる部分(図示せず)の間で連通することができる。この例では、ロードロックモジュール50100は、基板を保持するために、その内部に1つのチャンバ50135を画成してもよい。代替の実施形態では、ロードロックモジュール50100は、チャンバを1つより多く有してもよく、例えば、各チャンバは隔離可能で、モジュールと隣接するツール部の雰囲気に一致するチャンバ内雰囲気のサイクルを有することが可能でもよい。例示的実施形態では、ロードロックモジュールチャンバ50135は、チャンバ内雰囲気の急速サイクルを可能にするような、コンパクトなものであってもよい。
ここで図23Aおよび図23Bを参照すると、ロードロックモジュール50130ついて、さらに詳しく説明する。1つの態様では、チャンバ50135は、例えば、チャンバ50135内の構成要素の移動経路および/または基板がチャンバ50135内を通過する経路に関して、最小限の内部容積を有するように構成されている。1つの例示的実施形態では、チャンバ50135の側壁W1、W2は、基板Sおよび/または搬送装置のアームリンク50112の経路を追うように輪郭付けられてもよく、基板および/またはアームリンクと壁W1、W2との間で最小限の隙間のみを有してもよい。図23A〜23Bに示すように、壁W1は、搬送装置50110の上アーム50111および前アーム50112に接続しているエルボジョイント50460の円弧状の動きを追うように輪郭付けられている。壁W2は、この例では、基板が搬送装置50110によってロードロックモジュール50130を通過する際の、基板Sの端部の経路を追うように輪郭付けられている。
この例では、チャンバ50135の底部および/または上部も、ロードロックモジュール50100の可動構成要素とチャンバ50135の上部および/または底部との間に最小限の隙間があるように輪郭付けられてもよい。例えば、チャンバ50135の底部のセクションB1の表面は、チャンバ50135の底部のセクションB2の表面に関係して高くなっていてもよい(図23C参照)。例えば、セクションB1は、エンドエフェクタとエンドエフェクタに着座した基板とに隙間を与えるのみでよい一方で、セクションB2は、エンドエフェクタとエンドエフェクタに着座した基板とに隙間を与えるだけでなく、搬送装置50110のアーム50111と前アーム50112、50113とにも隙間を与えている。実現可能なものとして、チャンバの上部も、チャンバ50135の底部に関して上述した態様に類似する態様で、輪郭付けられてもよい。輪郭付けられた内部表面を有するロードロックチャンバの適切な例は、上記で本明細書の一部を構成するものとして援用した2005年4月12日出願の「Fast Swap Dual Substrate Transport For Load Lock」と題する米国特許出願第11/104,397号、および米国特許第6,918,731号、また、その開示内容の全てを本明細書の一部を構成するものとして援用する、2007年5月18日出願の「Compact SubstrateTransport System With Fast Swap Robot」と題する米国特許仮出願第60/938,913号に含まれている。代替の実施形態では、チャンバは内部容積を最小限に抑えるための、任意の適切な形状および輪郭を有してもよい。実現可能なものとして、チャンバ50135のこの最小限の内部容積は、ポンプダウンと通気のサイクル時にチャンバ50135を出入りするガスの容積を最小限に抑える。この減少したガスGの容積により、排出されるまたはチャンバ50135へ導入されるガスGが少なくて済むため、ロードロックモジュール50100を介しての基板搬送のサイクルタイムを縮小することができる。
1つの例示的実施形態では、さらに図23Aおよび図23Bを参照すると、上述したように、チャンバ50135の内部表面(すなわち上部、底部、および側壁)は、1つまたは複数の発熱体(または表面)50450、50451を含むように構成されてもよい。1つの実施形態では、発熱体50450、50451は、チャンバ内のガスGが加熱されるように、チャンバ50135の1つまたは複数の壁に、組み込まれていてもよい。この例では、チャンバ全体のガスGは、例えば、実質的に均一な温度で加熱されてもよい。代替の実施形態では、チャンバの任意の適切な部分のガスGが加熱されてもよい。他の代替の実施形態では、ガスGは均一に加熱されなくてもよい。実現可能なものとして、他の例示的実施形態では、発熱体はチャンバ50135内のガスGの温度を維持してもよい。例えば、ガスGは、所定の上昇温度で、例えば、任意の適切なフローライン50455を介して、チャンバの内部容積に導入してもよい。1つの例示的実施形態では、フローライン50455を介してチャンバに接続しているガスソースGSは、ガスGがチャンバ50135に導入される前に、ガスGの温度を所定の温度まで上昇させるためのガス加熱装置50456を含んでもよい。代替の実施形態では、ガスGは、チャンバ50135に導入される前に、任意の適切な態様で加熱してもよい。
例示目的で2つの発熱体50450、50451のみが示されているが、ロードロックモジュールは、任意の適切な数の発熱体を含んでもよいことを理解されたい。この例では、発熱体は、チャンバ壁の中にあるガスGを加熱するために、適切に配置されているか、チャンバ壁内に組み込まれていてもよい。他の例示的実施形態では、チャンバ50135の壁自体が発熱体であってもよい。例えば、チャンバ50135の1つまたは複数の壁の表面WS(または任意の他の適切な部分)は、チャンバ50135内のガスに伝熱する発熱体として構成されてもよい。代替の実施形態では、1つまたは複数の発熱体は、チャンバ壁内に着脱可能で挿入されているモジュール式発熱体でもよい。他の代替の実施形態では、1つまたは複数の発熱体は、任意の適切な態様で、チャンバ50135の表面に装着されていてもよい。例えば、チャンバ壁は、アルミニウム合金(または、例えば任意の他の適切な材料)などの導体材料で構成されてもよい。発熱体は、所定の温度まで壁を伝導的に加熱するように、1つまたは複数の壁の表面(内壁または外壁)に装着されていてもよい。
1つの例示的実施形態では、発熱体50450、50451は、任意の適切な加熱配分を行うように、チャンバの周りに配置してもよい。1つの例示的実施形態では、チャンバ内のガスGの温度がチャンバ50135全体で実質的に均一であるように、発熱体50450、50451を配置してもよい。代替の実施形態では、温度勾配が起こるように、発熱体を配置してもよい。例えば、搬送機内で発生しうるパーティクルがチャンバ底部に運ばれるように、チャンバ底部の温度はチャンバ上部の温度よりも高くてもよい。実現可能なものとして、温度勾配の作用によりチャンバ底部を流通するパーティクルを抑制する、適切なフィルタシステムをチャンバ50135の底部に配置してもよい。
発熱体50450、50451は、任意の適切な構成を有する任意の適切な発熱体であってもよい。例えば、1つの例示的実施形態では、発熱体は、任意の適切な電気的な発熱体でもよい。他の例示的実施形態では、発熱体は、壁を介して熱い流体を通過させるために、チャンバ壁内に管路を含んでもよい。これらの発熱体は、壁がチャンバ内のガスの温度を適切に増加させ、例えば、チャンバ50135のポンプダウン時のパーティクルの発生を最小限に抑えるように、チャンバ50135の壁の温度を上昇させてもよい。ポンプダウンサイクル時のチャンバ50135内のガスの温度は、以下のように表すことができる。
TGAS = T0 + (Tconvection - Tadiabatic) [1]
T0をガスの初期温度とすると、Tadiabatic(adiabatic:断熱)はガスの膨張による温度降下であり、Tconvection(convection:対流)はチャンバ壁からの伝熱による温度上昇である。断熱温度降下の変化率は次の式で表すことができる。
Figure 0006907166
TGASを現在のガスの温度とすると、Seffは効果的な排気速度、Vはロードロックの容積、γはガスの熱容量率である。代替の実施形態では、断熱温度降下を定義するために、任意の適切な方程式を用いることができる。方程式[2]に示すように、断熱温度降下の変化率を低下させるためには、排気速度を低下させるか、チャンバ容積を増加させるかのいずれかであるが、どちらとも排気時間の増大につながりうる。
ここで図24を参照すると、チャンバ壁の温度上昇が、対流によって生じた熱量を増加させている。対流温度の変化率は次の式で表すことができる。
Figure 0006907166
hを対流熱伝達率とすると、Sはロードロック表面、ρはガス濃度、CVはガス熱容量である。代替の実施形態では、対流温度の変化率を定義するために、任意の適切な方程式を用いることができる。例示のみを目的として述べるが、ポンプダウンサイクル時にチャンバ内のガスの温度が常に20℃の場合は、ガスはパーティクルが発生しない域に留まることになる。図24に示すように、別の例示的実施形態によると、ポンプダウンサイクル時のチャンバ内のガスの初期温度は、断熱膨張中にガスの温度が低下したとき、増加された排気速度または最大限の排気速度でのポンプダウン時にガスの温度がパーティクル形成域に陥らないように、例えば、チャンバ50135の壁とガスGとの間の対流伝熱により、増加してもよい。図24に示すように、ラインL1〜L4は、例えばチャンバ50135のようなロードロックチャンバのポンプダウンサイクルでの排気時間に対するガスの温度を表している。図24に示すように、ガスの初期温度を上昇させる、または、パーティクル形成温度を超えたガスの温度をその他の方法で維持することにより(例えば、チャンバ壁とガスとの間の対流伝熱により)、ガス温度をパーティクル非形成域に留める一方で、最大限の排気時間を可能にする。
ありうるのは、場合によっては、非常に高いチャンバ壁の温度は、実際的ではないということである。この例では、加熱された壁からガスへ最適な対流伝熱が生じるように、ロードロックチャンバ50100の容積に対する表面の比率を最大限にしてもよい(例えば、図23Aおよび図23Bに関して上述した態様に実質的に類似した態様で)。ロードロックが加熱されたチャンバ壁を有し、ロードロックチャンバ50100の容積に対する表面の比率が最大限である場合は、最小限のポンプダウンサイクルタイムで、チャンバ50100内のパーティクル形成を防止するロードロックが実現しうる。
1つの例示的実施形態では、ロードロックチャンバ50135は、通気サイクルの時間を最小限にするように構成されてもよい。1つの例では、ロードロックチャンバ50135へのガスの非乱流または層流を保つことにより、通気中のロードロックチャンバ50135内のパーティクル形成および汚染が最小限になるか、防止されうる。1つの例では、ガス流のレイノルズ数(Re)は、約2300より低くてもよい。代替の実施形態では、任意の適切なレイノルズ数または流量特性を用いてもよい。任意の特定の通気マニホールドのレイノルズ数は、次の方程式で計算することができる。
Figure 0006907166
ρをガスの濃度とすると、vはガス流速、lはフローチャネルの直径、ηはガスの粘度である。代替の実施形態では、レイノルズ数を決定するために、任意の適切な方程式を用いてもよい。1つの例では、最大ガス流に対するガス流の比率は0.5から0.6を超えないものとするが、代替の実施形態では、比率は任意の適切な値を有してもよい。実現可能なものとして、チャンバ135内の緩やかな通気から高速通気へ交差する圧力は形状依存でもよく、数トールから約数百トールになることもあり、例えば、実験的に決定するなど、任意の適切な態様で決定してもよい。
図1Aおよび図1Bを再度参照すると、別の例示的なロードロック100が示されている。この例のロードロック10は、積層ロードロックチャンバ14A、14Bを含んでいる(例示目的で2つのロードロックチャンバが示されているが、代替の実施形態では、ロードロック10は、チャンバを2つより多くまたは少なく有してもよい)。各ロードロックチャンバ14A、14Bは、各チャンバに位置するガスを効果的に加熱するために、各チャンバが1つまたは複数の発熱体を含み、容積に対する内部表面の比率が最大限になるように、上述したチャンバ50135に実質的に類似してもよい。この例では、ロードロックチャンバ14A、14Bは基板搬送機を含まないが、代替の実施形態では、1つまたは複数のチャンバ14A、14Bは基板搬送機を含んでもよい。1つの例示的実施形態によると、図1Aおよび図1Bに示すように、ロードロックモジュール10は、任意の適切な数の通気弁42A、42Bを有してもよい。図中には2つの通気弁42A、42Bが示されているが、通気弁は2つより多くても少なくてもよいことを理解されたい。各通気弁42A、42Bは、上述したようなモジュール式通気弁でもよい。代替の実施形態では、通気弁は任意の適切な構成を有してもよい。通気弁42A、42Bは、ガスの高容積流量が、均一かつ低いガス流速で、弁を介してチャンバ14A、14Bへ流通するように構成されてもよい。実現可能なものとして、ポート50650A、50650B(図7Bに関して上述したように、ポート36A’〜37B’に実質的に類似してもよいポート)から排出されたガスは、低速層流に関してレイノルズ数が定義されていてもよく、ロードロックモジュール10内の基板上にパーティクルが沈着することを回避するように構成されてもよい。1つの例示的実施形態では、各通気弁42A、42Bは、チャンバ698、699のそれぞれの1つへの通気ラインの入り口に配置されたディフューザ/フィルタ651を含んでもよい。この例では、ディフューザ651はポート650A、650Bに位置するように示されているが、代替の実施形態では、ディフューザはそれぞれのチャンバ14A、14Bに対して任意の適切な場所に位置していてもよい。ディフューザ/フィルタ50651は、任意の適切なディフューザ/フィルタでもよい。1つの例では、ディフューザ/フィルタ50651は、注入口のパーティクル濃度を、0.003x10−6m径を超える除去率で、約9桁下回るように構成されてもよい。実現可能なものとして、チャンバ14A、14Bの最小限の通気時間は、各チャンバ14A、14Bの内部容積によって決まるものでもよい。1つの例示的実施形態では、チャンバ14A、14Bの内部容積は、スループットを最大限にするために、図23Aおよび図23Bに関して上述した態様に実質的に類似する態様で最適化されてもよい。
ここで図25を参照して、最適化された排気および通気のサイクルタイムを有するロードロックモジュール50700の例示的な操作を説明する。ロードロックモジュール50700は、上述したロードロックモジュール50100に実質的に類似してもよく、上述した最小限のロードロック内部容積、加熱されたロードロックチャンバ壁、および最適化された通気弁のうちの、任意の適切な組み合わせを含んでもよい。この例では、ロードロックモジュール50700は、フロントエンドモジュール50720と真空バックエンドとを接続している。真空バックエンドは、真空チャンバ50710および処理モジュールPMを含んでいる。ここでは、ロードロックモジュール50700は基板搬送機を含んでいないが、代替の実施形態では、ロードロックモジュール50700は基板搬送機を含んでもよい。例示のみを目的としているが、基板は、装置フロントエンドモジュール(EFEM)50720内に位置する搬送機50721により、ロードポート50725からロードロックモジュール50700へ移送されてもよい。基板はロードロックモジュール50700から、例えば、真空バックエンド50710にある搬送機50711により取り除かれ、1つまたは複数の処理モジュールPMへと移送される。実現可能なものとして、処理モジュールPMからロードポート50725まで基板を戻す移送は、実質的に反対の態様で行われてもよい。この例では、搬送機50721、50711は、素早い基板スワップを行うように構成されてもよく、例えば、複数の搬送アームを含んでもよい。適切な搬送機には、上記で本明細書の一部を構成するものとして援用した米国特許出願第11/179,762号、第11/104,397号、および米国特許第6,918,731号(ただし、これらに限定されない)に説明される搬送機などがある。その他の適切な搬送装置には、米国特許第5,720,590号、第5,899,658号、米国特許出願公開第2003/0223853号、および2008年5月8日出願の「Substrate Transport Apparatus」と題する米国特許出願第12/117,355号に説明される搬送装置などがあり、当該特許および特許出願の開示内容の全てを本明細書の一部を構成するものとしてここに援用する。
操作において、搬送機50721は、EFEM50720とロードロックモジュール50700とを結合している開放された大気弁を介して、基板をロードロックモジュール50700へ移送する(ブロック50750)。ロードロックモジュール50700は、EFEM50720から隔離され、真空バックエンド50710とインタフェース接続するために、ポンプダウンして真空にされる(ブロック50751)。ロードロックモジュール50700とバックエンド50710とを結合しているスロット弁が開放され、搬送機50711はロードロックモジュール50700での出入りで基板をスワップすることができる(ブロック50752)。ロードロックモジュール50700は、バックエンド50710から隔離され、上述のように、EFEM50720とインタフェース接続するために通気される(ブロック50753)。ロードロックモジュール50700がEFEM50720とインタフェース接続する一方で、バックエンド50710内の搬送機50711は、処理モジュールPMでの出入りで基板をスワップする。処理済みの基板はロードロックモジュール50700に戻される一方で、未処理の基板は、その後のロードロックスワップサイクルで、ロードロックモジュール50700から取り出される(例えば、ブロック50754)。図25に示すように、ロードロックモジュール50700は、通気および排気のサイクルタイム50760が、バックエンド50710における基板処理のサイクルタイムと実質的に同一に(または少なく)なるように構成されている。これにより、例えば、処理ツール50790を介する基板スループットが最大限になる。こうした例示的実施形態によると、処理モジュールから取り除かれた基板がロードロック50700を通過して、例えば、ロードポートに(または、ツール50790の任意の他の適切な部分に)戻される前に、基板のための緩衝はされなくてもよい。例えば、図26を参照すると、従来のロードロックモジュールを有する処理ツールと、例示的実施形態によるロードロックモジュールを有する処理ツールとの比較が示されている。図26に示すグラフの作成のために用いられた両処理ツールは、ロードロックモジュールを除く他の部分の全てが、実質的に同一であることに注意されたい。図26に例示目的で示すが、ライン50800によると、従来のロードロックモジュールを有する従来の処理ツールでのウェハのスループットは、1時間につきウェハ(基板)約150個であるのに対し、ライン50810によると、例示的実施形態によるロードロックモジュールを有する処理ツールでのスループットは、1時間につき約200個である。
こうした例示的実施形態により、排気前のロードロックチャンバ内のガスの初期温度を十分上昇させ、ガスが断熱膨張する際に、温度がロードロックチャンバの排気中にパーティクル形成されるような所定の温度以下にならないようにしてもよい。ポンプダウン時のパーティクル発生を防止するまたは最小限に抑えることを目的に、通気時間の短縮を可能にし、例えば、チャンバ壁からロードロックモジュール内のガスへの対流伝熱を増加させるように、内部容積も最適化してもよい。ロードロックモジュール50100の通気弁も、上述のように、通気中のパーティクル形成を防止するために、最適化してもよい。こうした特徴の任意の適切な組み合わせが、ポンプダウンおよび通気のサイクルにおける高い排気速度を可能にしうる。これにより、本明細書で説明するようなロードロックモジュール100を介する基板の高いスループットが実現しうる。
1つの例示的実施形態により、半導体処理ツールが提供される。当該半導体処理ツールは、開口部を有する少なくとも1つのチャンバを形成し、開口部の周辺に封止表面を有するフレームと、開口部の封止用の封止表面と相互作用するように構成されたドアと、フレーム上にあり、開口部を介して移送される基板の移送面に実質的に垂直であるドアの横に位置する、少なくとも1つの駆動装置であって、少なくとも部分的に封止表面の前にアクチュエータを有し、駆動アクチュエータはドアを封止位置までの間を移動させるためにドアと結合していることを特徴とする、少なくとも1つの駆動装置と、を備える。少なくとも1つの駆動装置は、開口部を介して基板をチャンバへ出入りさせるための基板移送域の外に位置している。
別の例示的実施形態により、半導体処理ツールが提供される。当該半導体処理ツールは、フレームであって、少なくとも1つのチャンバを形成し、凹部を有し、少なくとも1つのチャンバのそれぞれの1つにアクセスするための凹部内に少なくとも1つの間隙部を有するフレームと、フレームに結合している着脱可能なインサートであって、凹部に嵌合するように構成されている外周部を有し、外周部と一体構造であり、少なくとも1つの間隙部に少なくとも部分的に嵌合するように構成されている内チャネル部を有するインサートと、フレームに接続し、少なくとも1つの間隙部を覆うように構成されている少なくとも1つのドアであって、少なくとも1つの間隙部を封止するために外周部と相互作用するように構成されているドアシールを有する少なくとも1つのドアと、凹部に位置し、少なくとも1つの間隙部のそれぞれを囲む少なくとも1つのインサートシールであって、インサートとは別個のものであり、インサートと相互作用してインサートとフレームとの間で封止を形成するように構成されている少なくとも1つのインサートシールと、を備える。
さらに別の例示的実施形態により、装置が提供される。当該装置は、内部に第1の冷却面を有する第1のチャンバと、第1のチャンバ内に固定装着され、第1の基板を保持するように構成されている第1の基板支持体と、少なくとも部分的には第1のチャンバ内に位置する第1のチャックであって、第1の冷却面に実質的に反対側に位置する第2の冷却面、および従属する第2の基板支持体を有し、第2の基板支持体は第2の基板を保持するように構成されていることを特徴とする、第1のチャックと、を備える。第1のチャックはロード位置と冷却位置との間で移動可能であり、冷却位置にある場合は、第2の冷却面は第1の基板の1つの面に実質的に接触しており、第1の冷却面は第2の基板の1つの面に実質的に接触している。
さらに別の例示的実施形態により、装置が提供される。当該装置は、隔離可能なチャンバを形成するフレームであって、隔離可能なチャンバは少なくとも1つの基板を保持するように構成され、チャンバ壁を有することを特徴とするフレームと、チャンバ壁を加熱するように構成され、チャンバ壁と一体化している少なくとも1つの発熱体と、を備える。チャンバ壁は、隔絶可能なチャンバの容積に対するチャンバ壁表面の比率が最大限であり、隔離可能なチャンバ内でチャンバ壁とガスとの間で最大限の伝熱が発生するように構成されている。
本明細書の例示的実施形態は、独立して、または当該実施形態の任意の組み合わせで、使用されうることを理解されたい。さらに、前述の内容は、本明細書の実施形態を例証するに過ぎないものであることを理解されたい。様々な代替形態および変更形態が、本明細書の実施形態から逸脱することなく、当業者によって考案されうる。したがって、本明細書の実施形態は、添付の特許請求の範囲内にある当該の代替形態、変更形態、および変形形態を全て包含することを意図するものである。

Claims (7)

  1. 半導体処理ツールであって、
    搬送チャンバに1つのユニットとして結合可能なモジュール式ユニットを形成するフレームと、
    前記モジュール式ユニット内に形成された積み重なった封止可能なチャンバと、
    互いに交換可能な複数の互いに異なる通気マニホールドモジュールを含み、かつ通気または真空ポートを有する複数の他の互いに異なるマニホールドモジュールを含む、互いに異なる複数の交換可能なマニホールドモジュールと、を含み、
    前記他の互いに異なるマニホールドモジュールの各々は、前記通気または真空ポートを有する他の互いに異なるマニホールドモジュールの各々と交換可能であり、前記互いに異なる複数の交換可能なマニホールドモジュールは、前記積み重なった封止可能なチャンバの各々に個別に結合された共通流体搬送モジュールを形成し、
    前記共通流体搬送モジュールは、前記モジュール式ユニットの複数の壁部に対して固定される個別のモジュール結合マニホールドインタフェースを有し、前記個別のモジュール結合マニホールドインタフェースの各々は、前記積み重なった封止可能なチャンバの個々のチャンバの各々に別個に結合可能に構成されており、前記個別のモジュール結合マニホールドインタフェースの各々は、共通の結合インタフェース構成を有し、前記モジュール式ユニットの前記壁部に対して固定される前記個別のモジュール結合マニホールドインタフェースの各々が、前記積み重なった封止チャンバの各々に対して交換可能に固定されかつ結合するために交換可能であり、前記共通の結合インタフェース構成の前記個別のモジュール結合マニホールドインタフェースの各々は、前記モジュール式ユニットの前記壁部に対して固定されることを特徴とする半導体処理ツール。
  2. 請求項1に記載の半導体処理ツールであって、前記積み重なった封止可能なチャンバの各々はロードロックを含むことを特徴とする半導体処理ツール。
  3. 請求項1に記載の半導体処理ツールであって、前記共通流体搬送モジュールは、1または複数の真空制御弁及び1つ通気弁を含むことを特徴とする半導体処理ツール。
  4. 請求項1に記載の半導体処理ツールであって、前記共通流体搬送モジュールは、真空制御マニホールドを含むことを特徴とする半導体処理ツール。
  5. 請求項4に記載の半導体処理ツールであって、前記積み重なった封止可能なチャンバの各々に接続された他の共通流体搬送モジュールを含み、前記他の共通流体搬送モジュールは通気マニホールドを含むことを特徴とする半導体処理ツール。
  6. 請求項5に記載の半導体処理ツールであって、
    前記共通流体搬送モジュールは、前記積み重なった封止可能なチャンバの1つ目に通気を行いかつ前記積み重なった封止可能なチャンバの2つ目を真空引きするように構成され、
    前記他の共通流体搬送モジュールは、前記積み重なった封止可能なチャンバの1つ目を真空引きしかつ前記積み重なった封止可能なチャンバの2つ目に通気を行うように構成されていることを特徴とする半導体処理ツール。
  7. 請求項1に記載の半導体処理ツールであって、
    前記共通流体搬送モジュールは、前記共通流体搬送モジュールに接続されている複数の弁体を有し、かつ前記複数の弁体の各々に共通な共通流体ソース及び共通流体排気管を形成することを特徴とする半導体処理ツール。
JP2018158802A 2007-05-18 2018-08-27 半導体処理ツール Active JP6907166B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US93892207P 2007-05-18 2007-05-18
US60/938,922 2007-05-18
US12/123,365 2008-05-19
US12/123,365 US8272825B2 (en) 2007-05-18 2008-05-19 Load lock fast pump vent
JP2016042596A JP6420271B2 (ja) 2007-05-18 2016-03-04 半導体処理ツール

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016042596A Division JP6420271B2 (ja) 2007-05-18 2016-03-04 半導体処理ツール

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020183300A Division JP7132306B2 (ja) 2007-05-18 2020-10-30 半導体処理ツール

Publications (2)

Publication Number Publication Date
JP2019012834A JP2019012834A (ja) 2019-01-24
JP6907166B2 true JP6907166B2 (ja) 2021-07-21

Family

ID=40122197

Family Applications (6)

Application Number Title Priority Date Filing Date
JP2010509497A Active JP5795162B2 (ja) 2007-05-18 2008-05-19 ロードロック高速排気および通気
JP2013214185A Active JP5956403B2 (ja) 2007-05-18 2013-10-11 ロードロック高速排気および通気
JP2015125256A Active JP6113227B2 (ja) 2007-05-18 2015-06-23 基板処理ツール
JP2016042596A Active JP6420271B2 (ja) 2007-05-18 2016-03-04 半導体処理ツール
JP2018158802A Active JP6907166B2 (ja) 2007-05-18 2018-08-27 半導体処理ツール
JP2020183300A Active JP7132306B2 (ja) 2007-05-18 2020-10-30 半導体処理ツール

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2010509497A Active JP5795162B2 (ja) 2007-05-18 2008-05-19 ロードロック高速排気および通気
JP2013214185A Active JP5956403B2 (ja) 2007-05-18 2013-10-11 ロードロック高速排気および通気
JP2015125256A Active JP6113227B2 (ja) 2007-05-18 2015-06-23 基板処理ツール
JP2016042596A Active JP6420271B2 (ja) 2007-05-18 2016-03-04 半導体処理ツール

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020183300A Active JP7132306B2 (ja) 2007-05-18 2020-10-30 半導体処理ツール

Country Status (4)

Country Link
US (3) US8272825B2 (ja)
JP (6) JP5795162B2 (ja)
KR (1) KR101522324B1 (ja)
WO (1) WO2008144670A1 (ja)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
EP1903157A3 (de) * 2006-09-19 2008-05-14 Integrated Dynamics Engineering GmbH Umgebungslärmabschirmvorrichtung
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
US20100301236A1 (en) * 2009-05-26 2010-12-02 Shih-Yung Shieh Shorten Temperature Recovery Time of Low Temperature Ion Implantation
FR2954583B1 (fr) 2009-12-18 2017-11-24 Alcatel Lucent Procede et dispositif de pilotage de fabrication de semi conducteurs par mesure de contamination
JP5511536B2 (ja) * 2010-06-17 2014-06-04 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
FR2961946B1 (fr) * 2010-06-29 2012-08-03 Alcatel Lucent Dispositif de traitement pour boites de transport et de stockage
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
DE102010048043A1 (de) 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
EP2444993A1 (en) * 2010-10-21 2012-04-25 Applied Materials, Inc. Load lock chamber, substrate processing system and method for venting
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN203746815U (zh) 2011-03-01 2014-07-30 应用材料公司 用于处理基板的腔室
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
US8833383B2 (en) 2011-07-20 2014-09-16 Ferrotec (Usa) Corporation Multi-vane throttle valve
US9812343B2 (en) 2011-09-14 2017-11-07 Brooks Automation, Inc. Load station
KR101271794B1 (ko) * 2011-12-01 2013-06-07 박호현 로드락 챔버
JP2013131543A (ja) * 2011-12-20 2013-07-04 Tokyo Electron Ltd 基板処理装置
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US10115608B2 (en) * 2012-05-25 2018-10-30 Novellus Systems, Inc. Method and apparatus for rapid pump-down of a high-vacuum loadlock
JP2014112638A (ja) * 2012-11-07 2014-06-19 Tokyo Electron Ltd 基板冷却部材、基板処理装置及び基板処理方法
EP2740979A1 (de) 2012-12-05 2014-06-11 VAT Holding AG Vakuumventil
TWI814354B (zh) 2013-01-22 2023-09-01 美商布魯克斯自動機械美國公司 基材運送
US9548231B2 (en) * 2013-06-05 2017-01-17 Persimmon Technologies, Corp. Robot and adaptive placement system and method
TWI623994B (zh) 2013-07-08 2018-05-11 布魯克斯自動機械公司 具有即時基板定心的處理裝置
CN103400789B (zh) * 2013-08-01 2018-01-26 上海集成电路研发中心有限公司 设备平台系统及其晶圆传输方法
US9435025B2 (en) * 2013-09-25 2016-09-06 Applied Materials, Inc. Gas apparatus, systems, and methods for chamber ports
TWI699850B (zh) 2013-11-04 2020-07-21 美商應用材料股份有限公司 半導體裝置處理工具及用於該半導體裝置處理工具的介面單元
US9673071B2 (en) * 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR102401501B1 (ko) * 2014-12-19 2022-05-23 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버를 위한 에지 링
KR101642919B1 (ko) * 2015-02-24 2016-07-26 코스텍시스템(주) 웨이퍼 이송 장치 및 이송 방법
US10134623B2 (en) * 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
KR101725894B1 (ko) * 2016-01-26 2017-04-11 주식회사 더셀머트리얼즈 로드락 챔버
CN108780766B (zh) * 2016-03-08 2022-03-04 瑞士艾发科技 用于衬底脱气的室
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10796935B2 (en) * 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
GB2570510A (en) 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
KR102132422B1 (ko) 2018-03-14 2020-08-05 우범제 이에프이엠
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102592920B1 (ko) * 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
KR102654241B1 (ko) * 2019-01-16 2024-04-02 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 시스템, 진공 프로세싱 시스템을 위한 기판 챔버, 및 기판을 냉각하는 방법
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
DE102019124484A1 (de) * 2019-09-12 2021-03-18 VON ARDENNE Asset GmbH & Co. KG Ventilanordnung, Vakuumanordnung und Verfahren
JP7451436B2 (ja) * 2020-02-14 2024-03-18 芝浦メカトロニクス株式会社 成膜装置及び成膜装置の水分除去方法
CA3175604A1 (en) * 2020-04-16 2021-10-21 RPM Industries, LLC Modular container and system including same
CN112151431B (zh) 2020-09-25 2023-07-11 北京北方华创微电子装备有限公司 预装载腔室及半导体工艺平台
KR102432994B1 (ko) * 2020-10-16 2022-08-16 최환혁 기판 예열 장치
CN113066742B (zh) * 2021-03-18 2023-11-10 浙江芯能光伏科技股份有限公司 一种生产太阳能多晶硅片的自动传送设备
KR102625678B1 (ko) * 2021-11-05 2024-01-17 프리시스 주식회사 로드락모듈 및 이를 포함하는 기판처리시스템
US20240145270A1 (en) * 2022-10-31 2024-05-02 Applied Materials, Inc. Workpiece handling architecture for high workpiece throughput

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
FR2617952B1 (fr) * 1987-07-07 1989-11-24 Sari Installation de traitement d'air, destinee a la ventilation et a la climatisation d'une pluralite de salles, et module de traitement d'air destine a une telle installation
DE3833232A1 (de) * 1988-09-30 1990-04-05 Leybold Ag Verfahren und vorrichtung zum verdampfen von bei raumtemperatur fluessigen monomeren
US5000682A (en) 1990-01-22 1991-03-19 Semitherm Vertical thermal processor for semiconductor wafers
JP2525284B2 (ja) 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
KR0155572B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JP2886404B2 (ja) 1993-01-25 1999-04-26 シャープ株式会社 電子レンジ
US5466082A (en) * 1993-11-30 1995-11-14 The United States Of America As Represented By The Secretary Of Agriculture In-line safety shackle
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP4227623B2 (ja) * 1995-12-12 2009-02-18 東京エレクトロン株式会社 半導体処理装置
US5751003A (en) * 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
JP2817698B2 (ja) * 1996-03-12 1998-10-30 日本電気株式会社 半導体基板の冷却装置
KR100218269B1 (ko) 1996-05-30 1999-09-01 윤종용 건식 에칭기의 잔류 가스 제거 장치 및 방법
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
DE19633798A1 (de) 1996-08-22 1998-02-26 Vat Holding Ag Einrichtung zum Verschließen einer Öffnung in einem Behälter oder in einer Rohrleitung
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5914493A (en) 1997-02-21 1999-06-22 Nikon Corporation Charged-particle-beam exposure apparatus and methods with substrate-temperature control
JPH113861A (ja) 1997-06-12 1999-01-06 Sony Corp 半導体装置の製造方法及びその装置
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
DE19746241C2 (de) 1997-10-20 2000-05-31 Vat Holding Ag Haag Einrichtung zum Verschließen einer Öffnung
WO1999028951A2 (en) * 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6122566A (en) 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
JP4067633B2 (ja) * 1998-03-06 2008-03-26 東京エレクトロン株式会社 処理装置
US20080209758A9 (en) 2005-06-27 2008-09-04 Dominique Thifault Pocket ventilator
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6110232A (en) 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6488262B1 (en) 1999-06-02 2002-12-03 Tokyo Electron Limited Gate valve for semiconductor processing system
EP1061301B1 (en) * 1999-06-14 2004-07-28 SMC Kabushiki Kaisha Gate valve
JP2001015571A (ja) * 1999-07-02 2001-01-19 Tokyo Electron Ltd ゲートバルブ
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
JP2000323549A (ja) 1999-10-21 2000-11-24 Tokyo Electron Ltd 真空処理装置
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
JP2001230312A (ja) * 2000-02-16 2001-08-24 Nec Corp 半導体製造装置
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP4669257B2 (ja) * 2000-03-29 2011-04-13 株式会社日立国際電気 半導体製造方法、基板処理方法、及び基板処理装置
US6323463B1 (en) 2000-03-29 2001-11-27 Applied Materials, Inc. Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
US6609877B1 (en) 2000-10-04 2003-08-26 The Boc Group, Inc. Vacuum chamber load lock structure and article transport mechanism
KR20020071393A (ko) * 2001-03-06 2002-09-12 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6684123B2 (en) 2001-06-26 2004-01-27 Applied Materials, Inc. Method and apparatus for accessing a multiple chamber semiconductor wafer processing system
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003031639A (ja) 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
JP2003045947A (ja) * 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
DE10149481A1 (de) 2001-10-08 2003-04-30 Siemens Ag System und Verfahren zur Datenausgabe eines Geräts, insbesondere eines Automatisierungsgerät über eine standardisierte Schnittstelle mit Variablenersetzung über einen Echoserver
US6764265B2 (en) * 2002-01-07 2004-07-20 Applied Materials Inc. Erosion resistant slit valve
JP2003234391A (ja) * 2002-02-12 2003-08-22 Jeol Ltd ガス導入機構及びロード・ロック室
WO2004046416A1 (en) * 2002-11-15 2004-06-03 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US6885206B2 (en) 2003-02-11 2005-04-26 Strasbaugh Device for supporting thin semiconductor wafers
JP2004244207A (ja) * 2003-02-17 2004-09-02 Hitachi Displays Ltd 搬送装置
JP4319434B2 (ja) 2003-03-11 2009-08-26 東京エレクトロン株式会社 ゲートバルブ及び真空容器
US6916009B2 (en) 2003-07-14 2005-07-12 Vat Holding Ag Load-lock device for introducing substrates into a vacuum chamber
JP4602019B2 (ja) * 2003-08-26 2010-12-22 株式会社キッツエスシーティー ゲートバルブ
US7100892B2 (en) * 2003-08-26 2006-09-05 Kitz Sct Corporation Non-rubbing gate valve for semiconductor fabrication apparatus
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP2005191494A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光装置、デバイスの製造方法
JP2005277049A (ja) 2004-03-24 2005-10-06 Tokyo Electron Ltd 熱処理システム及び熱処理方法
US7162881B2 (en) * 2004-04-07 2007-01-16 Nikon Corporation Thermophoretic wand to protect front and back surfaces of an object
JP4280249B2 (ja) * 2004-06-02 2009-06-17 アプライド マテリアルズ インコーポレイテッド チャンバをシールするための方法及び装置
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
JP2006100743A (ja) * 2004-09-30 2006-04-13 Toshiba Ceramics Co Ltd 昇温ユニット及び昇降温ユニット
JP4798981B2 (ja) * 2004-10-28 2011-10-19 東京エレクトロン株式会社 基板処理装置の制御方法,基板処理装置,基板処理装置の制御を行うプログラム
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
EP2031284B1 (en) * 2006-06-19 2018-09-12 Nippon Valqua Industries, Ltd. Valve element portion and gate valve device
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
JP5044366B2 (ja) * 2007-11-02 2012-10-10 株式会社ブイテックス 真空ゲートバルブおよびこれを使用したゲート開閉方法
US8288288B1 (en) * 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks

Also Published As

Publication number Publication date
US20090016855A1 (en) 2009-01-15
WO2008144670A1 (en) 2008-11-27
KR101522324B1 (ko) 2015-05-21
JP7132306B2 (ja) 2022-09-06
JP6420271B2 (ja) 2018-11-07
US8272825B2 (en) 2012-09-25
JP6113227B2 (ja) 2017-04-12
KR20100022060A (ko) 2010-02-26
JP2021022750A (ja) 2021-02-18
JP2011503837A (ja) 2011-01-27
JP2016157949A (ja) 2016-09-01
US20130078057A1 (en) 2013-03-28
JP5795162B2 (ja) 2015-10-14
JP2015233143A (ja) 2015-12-24
US20140178157A1 (en) 2014-06-26
JP2014030054A (ja) 2014-02-13
JP2019012834A (ja) 2019-01-24
US8662812B2 (en) 2014-03-04
US9478446B2 (en) 2016-10-25
JP5956403B2 (ja) 2016-07-27

Similar Documents

Publication Publication Date Title
JP6907166B2 (ja) 半導体処理ツール
KR101826789B1 (ko) 전자 디바이스 제조 시스템의 챔버 포트 조립체
US20080066678A1 (en) Apparatus and methods for transporting and processing substrates
KR102512974B1 (ko) 온-더-플라이 기판 센터링을 갖는 처리 장치
TW201438132A (zh) 基材運送
US20070209593A1 (en) Semiconductor wafer cooling device
US20060045668A1 (en) System for handling of wafers within a process tool
TW201123340A (en) Vacuum processing system and vacuum processing method of semiconductor processing substrate
JP2022551815A (ja) 基板処理装置
US20230335414A1 (en) Load lock fast pump vent
TWI721937B (zh) 鈷基板之處理系統、裝置、及方法
US20210193489A1 (en) Systems and Methods for Workpiece Processing
TW200922852A (en) Load lock fast pump vent
JP4359109B2 (ja) 基板処理装置および基板処理方法
JPWO2020252476A5 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180828

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191009

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200109

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201030

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20201030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201105

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20201111

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20201117

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20210129

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20210202

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210323

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210406

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210427

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20210601

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20210601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210630

R150 Certificate of patent or registration of utility model

Ref document number: 6907166

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150