KR20110052442A - 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법 - Google Patents

반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법 Download PDF

Info

Publication number
KR20110052442A
KR20110052442A KR20100079634A KR20100079634A KR20110052442A KR 20110052442 A KR20110052442 A KR 20110052442A KR 20100079634 A KR20100079634 A KR 20100079634A KR 20100079634 A KR20100079634 A KR 20100079634A KR 20110052442 A KR20110052442 A KR 20110052442A
Authority
KR
South Korea
Prior art keywords
vacuum
chamber
wafer
transfer
conveyance
Prior art date
Application number
KR20100079634A
Other languages
English (en)
Other versions
KR101350872B1 (ko
Inventor
스스무 다우치
히데아키 곤도
데루오 나카타
게이타 노기
아츠시 시모다
다카후미 치다
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20110052442A publication Critical patent/KR20110052442A/ko
Application granted granted Critical
Publication of KR101350872B1 publication Critical patent/KR101350872B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

본 발명은 진공 반송실에 구비된 진공처리실의 배치를 최적화하여, 설치 면적당 피처리물의 생산능력이 높은 장치를 제공하는 것이다.
복수의 카세트대가 전면측에 배치되고, 상기 복수의 카세트대 중의 하나에 설치된 카세트 내에 수납된 웨이퍼가 반송되는 대기 반송실과, 상기 대기 반송실의 뒤쪽에 배치되고, 내부에 상기 대기반송실로부터 반송되는 상기 웨이퍼를 수납하는 록실과, 상기 록실의 뒤쪽에 연결되고, 상기 록실로부터 상기 웨이퍼가 반송되는 제 1 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결된 반송 중간실과, 상기 반송 중간실의 뒤쪽에 연결되고, 상기 반송 중간실로부터 상기 웨이퍼가 반송되는 제 2 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 적어도 하나의 진공 처리실과, 상기 제 2 진공 반송실의 뒤쪽에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 2개 이상의 진공 처리실을 구비하고, 상기 제 1 진공 반송실에 연결된 진공 처리실의 수가 상기 제 2 진공 반송실에 연결된 진공처리실의 수보다 적게 구성하거나, 상기 제 1 진공 반송실에 연결된 진공 처리실의 사용을 하나로 제한하도록 구성한 반도체 피처리 기판의 진공처리시스템 및 그 시스템을 사용한 진공처리방법이다.

Description

반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법{VACUUM PROCESSING SYSTEM AND METHOD FOR SEMICONDUCTOR SUBSTRATE TO BE PROCESSED}
본 발명은, 반도체 처리장치의 진공처리실과 진공 반송실 등과의 사이에서, 반도체 피처리 기판(이하, 반도체 웨이퍼 및 기판 형상의 웨이퍼 등을 포함하여, 간단하게 「웨이퍼」라 한다.)의 반송기구를 구비한 진공처리시스템의 구성, 및 상기 시스템을 이용한 진공처리방법에 관한 것이다. 특히, 복수의 진공처리실을 복수의 진공 반송실 내의 반송기구를 거쳐 직렬적으로 배치한 진공처리시스템의 구성 및 진공처리방법에 관한 것이다.
상기와 같은 장치, 특히, 감압된 장치 내에서 처리대상을 처리하는 장치에서는, 처리의 미세화, 고정밀도화와 함께, 처리대상인 피처리 기판의 처리효율의 향상이 요구되어 왔다. 이 때문에, 최근에는, 하나의 장치에 복수의 진공처리실이 구비된 멀티 챔버장치가 개발되어, 청정룸의 설치 면적당 생산성의 효율을 향상시키는 것이 행하여져 왔다.
이와 같은 복수의 진공처리실 또는 챔버를 구비하여 처리를 행하는 장치에서는, 각각의 진공처리실 또는 챔버가, 내부의 가스나 그 압력이 감압 가능하게 조절되어 있고, 피처리 기판을 반송하기 위한 로봇 아암 등이 구비된 진공 반송실(반송챔버)에 접속되어 있다.
이와 같은 구성일 때에, 진공처리장치 전체의 크기는, 진공 반송실 및 진공처리실의 크기, 수 및 배치에 의해 결정된다. 진공 반송실의 구성은, 인접하는 진공 반송실 또는 진공처리실의 접속수, 내부의 반송 로봇의 선회반경, 웨이퍼 크기등에 의해 결정된다. 또, 진공처리실은, 웨이퍼 크기, 배기효율, 웨이퍼 처리를 위해 필요한 기기류의 배치에 의해 결정된다. 또한 진공 반송실 및 진공처리실의 배치는, 생산에 필요한 처리실의 수 및 메인티넌스성으로 결정된다.
상기에 의거하여, 특허문헌 1에는, 진공 하의 반도체처리시스템에서, 가공 중의 제품을 처리하는 방법 및 시스템에 관하여, 선형 처리 시스템을 횡단하기 위하여 아암으로부터 아암으로 재료를 처리하기 위한 방법 및 시스템이 기재되어 있다. 이 특허문헌 1에서는, 선형 툴의 문제를 회피하면서, 클러스터 툴 고유의 제한을 극복할 수 있는 반도체 제조장치에 대한 필요성이 존재하는 것으로 과제로 하여, 작은 설치면적에서, 웨이퍼를 이동하는 진공처리시스템을 제공한다고 하고 있다.
[특허문헌 1]
일본국 특표2007-511104호 공보
그러나, 상기 종래 기술에서는, 웨이퍼를 반송할 때의 방법 및 시스템 구성에 중점이 놓여져 있고, 다음과 같은 점에 대하여 고려가 충분하지 않았다.
즉, 진공처리시스템을 구성하는 각 유닛의 수와 배치에 있어서, 주요한 유닛으로서의 처리대상인 웨이퍼를 처리하는 처리실 및 진공 반송을 위한 진공 반송실과 진공처리실의 배치 관계가, 생산성의 효율이 최적이 되는 배치 관계에 대한 고려가 되어 있지 않고, 결국, 설치 면적당 생산성이 최적화되어 있지 않았다.
이와 같이 설치 면적당 생산성을 충분히 고려하고 있지 않은 종래기술에서는, 진공처리시스템을 구성하는 장치의 설치 면적당 웨이퍼의 처리능력이 손상되어 있었다.
그래서, 본 발명의 목적은, 설치 면적당 생산성이 높은 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법을 제공하는 것에 있다.
상기 과제를 해결하기 위하여, 본 발명의 반도체 피처리 기판의 진공처리시스템은, 복수의 카세트대가 전면(前面)측에 배치되고, 상기 복수의 카세트대 중의 하나에 설치된 카세트 내에 수납된 웨이퍼가 반송되는 대기 반송실과, 상기 대기 반송실의 뒤쪽에 배치되고, 내부에 상기 대기 반송실로부터 반송되는 상기 웨이퍼를 수납하는 록실과, 상기 록실의 뒤쪽에 연결되고, 상기 록실로부터 상기 웨이퍼가 반송되는 제 1 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결된 반송 중간실과, 상기 반송 중간실의 뒤쪽에 연결되고, 상기 반송 중간실로부터 상기 웨이퍼가 반송되는 제 2 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 적어도 하나의 진공처리실과, 상기 제 2 진공 반송실의 뒤쪽에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 2개 이상의 진공처리실을 구비하고,
상기 제 1 진공 반송실에 연결된 진공처리실의 수가 상기 제 2 진공 반송실에 연결된 진공처리실의 수보다 적은 것을 특징으로 한다.
또한, 본 발명의 반도체 피처리 기판의 진공처리시스템은, 상기 제 1 진공 반송실에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 제 1 진공처리실과, 상기 제 2 진공 반송실에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 제 2 및 제 3 진공처리실로서,
상기 제 1 진공 반송실에 연결된 진공처리실의 수를 1개로 하고, 상기 제 2 진공 반송실에 연결된 진공처리실의 수를 2개로 한 것을 특징으로 한다.
또한, 본 발명의 반도체 피처리 기판의 진공처리시스템은, 상기 제 1 및 제 2 진공 반송실의 각각의 내부에는 반송 로봇을 배치하고, 상기 반송 로봇은, 복수의 아암을 가지는 반송 로봇을 구비한 것을 특징으로 한다.
또, 상기 과제를 해결하기 위한 본 발명의 반도체 피처리 기판의 진공처리방법은, 복수의 카세트대가 전면(前面)측에 배치되고, 상기 복수의 카세드대 중의 하나에 설치된 카세트 내에 수납된 웨이퍼가 반송되는 대기 반송실과, 상기 대기 반송실의 뒤쪽에 배치되고, 내부에 상기 대기 반송실로부터 반송되는 상기 웨이퍼를 수납하는 록실과, 상기 록실의 뒤쪽에 연결되고, 상기 록실로부터 상기 웨이퍼가 반송되는 제 1 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결된 반송 중간실과, 상기 반송 중간실의 뒤쪽에 연결되고, 상기 반송 중간실로부터 상기 웨이퍼가 반송되는 제 2 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 복수의 진공처리실과, 상기 제 2 진공 반송실의 뒤쪽에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 복수의 진공처리실을 구비한 반도체 피처리 기판의 진공처리시스템을 사용하여 반도체 피처리 기판을 처리하는 진공처리방법에 있어서, 상기 제 1 진공 반송실에 연결된 복수의 진공처리실 중의 하나의 진공처리실을 사용하도록 상기 웨이퍼의 반송을 제어하는 것을 특징으로 한다.
또한, 본 발명의 반도체 피처리 기판의 진공처리방법은, 상기 제 1 및 제 2 진공 반송실의 각각의 내부에는 반송 로봇을 배치하고, 상기 반송 로봇은, 복수의 아암을 가지는 반송 로봇을 구비하고 있고,
상기 제 1 진공 반송실에 연결된 복수의 진공처리실 중의 하나의 진공처리실을 사용하도록 상기 반송 로봇에 의한 상기 웨이퍼의 반송을 제어하는 것을 특징으로 한다.
본 발명에 의하면, 설치 면적당 생산성이 높은 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법을 제공할 수 있다.
또, 저이물(低異物)이고, 또한 교차 오염(Cross Contamination) 억제를 가능하게 하는 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법을 제공할 수 있다.
도 1은 본 발명의 제 1 실시형태에 의한 진공처리장치를 포함하는 진공처리 시스템의 전체의 구성의 개략을 설명하는 도,
도 2a는 도 1에 나타내어 설명한 본 발명의 실시형태에 의한 진공 반송실의 확대도로서, 로봇 아암을 수축한 상태를 나타내는 도,
도 2b는 도 1에 나타내어 설명한 본 발명의 실시형태에 의한 진공 반송실의 확대도로서, 로봇 아암을 신장한 상태를 나타내는 도,
도 3은 본 발명의 다른 실시형태에 의한 진공처리장치를 포함하는 진공처리 시스템의 전체의 구성의 개략을 설명하는 도면이다.
이하, 본 발명에 의한 반도체 피처리 기판의 진공처리시스템 및 진공처리방법의 실시형태를 도면에 의해 상세하게 설명한다.
도 1은 본 발명의 일 실시형태에 의한 진공처리실을 포함하는 진공처리 시스템의 전체의 구성의 개략을 설명한다.
도 1에 나타내는 본 발명의 제 1 실시형태에 의한 복수의 진공처리실(103, 103, 103)을 포함하는 진공처리 시스템(100)은 크게 나누어, 대기측 블록(101)과 진공측 블록(102)에 의해 구성된다. 대기측 블록(101)은, 대기압 하에서 피처리물인 반도체 웨이퍼 등을 반송, 수납위치 결정 등을 행하는 부분이고, 진공측 블록(102)은, 대기압으로부터 감압된 압력 하에서 웨이퍼를 반송하고, 미리 정해진 진공처리실(103) 내에서 처리를 행하는 블럭이다. 그리고, 반송이나 처리를 행하는 진공측 블럭(102)과 대기측 블럭(101) 사이에는, 웨이퍼를 내부에 가진 상태에서 압력을 대기압과 진공압의 사이에서 상하시키는 부분으로서의 록실(105)을 구비하고 있다.
본 발명의 진공처리시스템(100)의 제 1 실시예에서는, 진공처리실(103)을 3개 구비하고, 진공측 블록(102)에서의 반송시간이 대기측 블록(101)에서의 반송시간과 비교하여 긴 상태의 경우에 있어서, 설치 면적당 생산성이 높은 시스템 구성의 실시예를 나타낸다. 또, 본 실시예는, 진공처리실(103)에서 웨이퍼를 처리하는 시간 또는, 웨이퍼가 진공처리실(103) 내에 체재하는 시간이, 웨이퍼를 반송에 요하는 시간보다 짧은 경우의 예이다. 이것에 의하여, 전체로서의 처리시간은 반송에 의하여 정해지게 되어, 이 상태를 반송 율속(律速)이라 한다.
대기측 블록(101)은, 내부에 대기반송로봇(109)을 구비한 대략 직육면체 형상의 박스체(106)를 가지고, 이 박스체(106)의 전면측에는, 복수의 카세트대(107, 107, 107)가 구비되어 있다. 처리용 웨이퍼 또는 진공처리실(103)의 클리닝용 피처리물로서의 웨이퍼가 수납되어 있는 카세트가 복수의 카세트대(107, 107, 107)로서 탑재되어 있다.
진공측 블록(102)에는, 하나의 록실(105)이 대기측 블럭(101)에 접하여 설치되어 있다. 이 록실(105)은, 진공측 블럭(102)의 제 1 진공 반송실(104)과 대기측 블록(101) 사이에 배치되고, 대기측과 진공측의 사이에서 주고 받는 웨이퍼를 내부에 가진 상태에서 내부의 압력을 대기압과 진공압의 사이에서 변화시켜 웨이퍼를 반송한다. 또, 록실(105)에는, 웨이퍼를 상하방향으로 2매 이상 겹쳐서 탑재할 수 있는 스테이지를 구비하고 있다. 제 1 진공 반송실(104)은 평면형상이 대략 직사각 형상이고, 내부가 감압되어 그 내부로 웨이퍼가 반송된다.
이 제 1 진공 반송실(104)에는, 그 2면에 웨이퍼를 처리하는 진공처리실(103)이 접속 가능하다. 본 발명의 제 1 실시예에서는, 제 1 진공 반송실(104)의 2면 중 하나의 면에 대해서만, 진공처리실(103)을 접속하고 있다. 또, 본 실시예에서는 제 1 진공 반송실(104)은 평면 형상이 대략 직사각 형상으로 하였으나, 3각형 이상의 다각 형상이어도 되고, 구면 형상을 나타내어도 된다. 또, 제 1 진공 반송실(104)의 다른 한 변에는, 제 2 진공 반송실(110)과의 사이에서 웨이퍼를 주고 받는 진공 반송 중간실(111)을 구비하고 있다. 진공 반송 중간실(111)도 록실(105)과 마찬가지로 웨이퍼를 상하방향으로 겹쳐서 2매 이상 탑재할 수 있는 스테이지를 구비한다. 이에 의하여, 전체의 처리시간 중에서 시간이 요하는 반송시간을 단축할 수 있다.
또한, 진공 반송 중간실(111)의 한쪽에는 제 1 진공 반송실(104)이 접속되고, 다른 한쪽에는 제 2 진공 반송실(110)이 접속되어 있다. 제 2 진공 반송실(110)도 평면형상이 대략 직사각 형상이며, 3개의 진공처리실(103)이 접속 가능하나, 본 실시예에서는 2개의 진공처리실(103, 103)이 접속되어 있다. 또, 본 실시예에서는 제 2 진공 반송실(110)은 평면 형상이 대략 직사각 형상으로 하였으나, 다른 다각 형상이어도 된다.
여기서, 바로 앞에 배치된 제 1 진공 반송실(104)에 접속되는 진공처리실(103)의 수가, 안쪽에 배치된 제 2 진공 반송실(110)에 접속되는 진공처리실(103)의 수보다 적은 것이 중요하다. 본 실시예에서는, 바로 앞에 배치된 제 1 진공 반송실(104)에 접속된 진공처리실(103)의 수가 1개이고, 안쪽에 배치된 제 2 진공 반송실(110)에 접속되는 진공처리실(103)의 수가 2개이다. 본 발명에서는, 바로 앞에 배치한 제 1 진공 반송실(104)에 접속된 진공 처리실(103)을 「바로 앞의 진공처리실」이라 부르고, 안쪽에 배치한 제 2 진공 반송실(110)에 접속된 진공 처리실(103, 103)을 「안쪽의 진공처리실」이라 부른다.
이 진공측 블록(102)은, 전체가 감압되어 높은 진공도의 압력으로 유지 가능한 용기이다.
제 1 진공 반송실(104)은, 그 내부가 웨이퍼를 반송하는 반송실로 되어 있다. 제 1 진공 반송실(104)에는, 진공 하에서 록실(105)과 진공처리실(103)의 사이, 또는 록실(105)과 진공 반송 중간실(111)의 사이에서 웨이퍼를 반송하는 진공 반송로봇(108)(도 2)이 그 중앙에 배치되어 있다. 마찬가지로, 제 2 진공 반송실(110) 내에는, 진공 하에서 진공 반송 중간실(111)과 2개의 진공처리실(103, 103) 중 어느 하나의 진공처리실(103)의 사이에서 웨이퍼를 반송하는 진공 반송 로봇(108)(도 2)이 그 중앙에 배치되어 있다. 제 1 진공 반송실(104) 및 제 2 진공 반송실(110) 내에 설치된 진공 반송 로봇(108)은, 그 아암 상에 웨이퍼가 탑재되어, 진공처리실(103)에 배치된 웨이퍼대 상, 록실(105) 또는 진공 반송 중간실(111)의 어느 하나에 대하여 웨이퍼의 반입, 반출을 행한다. 이들 진공처리실(103), 록실(105) 및 진공 반송 중간실(111)과 제 1 진공 반송실(104)의 사이에는, 각각 기밀하게 폐쇄, 개방 가능한 밸브(120)에 의해 연통하는 통로가 설치되어 있다. 마찬가지로, 진공처리실(103) 및 진공 반송 중간실(111)과 제 2 진공 반송실(110)의 사이에는, 각각 기밀하게 폐쇄, 개방 가능한 밸브(120)에 의하여 연통하는 통로가 설치되어 있다. 이들 통로는, 밸브(120)에 의하여 개폐된다.
다음에, 상기한 바와 같이 구성되는 진공처리시스템(100)에 의하여 웨이퍼에 대한 처리를 행할 때의 웨이퍼의 진공처리방법에서의 웨이퍼 반송과정의 개요를 설명한다.
복수의 카세트대(107, 107, 107)의 어느 하나의 위에 탑재된 카세트 내에 수납된 복수의 반도체 웨이퍼 등은, 진공처리시스템(100)의 동작을 조절하는 제어장치(도시 생략)의 판단 하에, 또는, 진공처리시스템(100)이 설치되는 제조 라인의 제어장치 등(도시 생략)으로부터의 지령을 받아, 그 처리가 개시된다. 먼저, 제어장치로부터의 지령을 받은 대기반송로봇(109)은, 카세트 내의 특정한 웨이퍼를 카세트로부터 인출하여, 인출한 웨이퍼를 록실(105)로 반송한다.
웨이퍼가 반송되어 저장된 록실(105)은, 반송된 웨이퍼를 수납한 상태에서 밸브(120)가 폐쇄되고 밀봉되어 소정의 압력까지 감압된다. 록실(105) 내에는, 2매 이상의 복수매의 웨이퍼를 수납할 수 있다. 그 후, 제 1 진공 반송실(104)에 면한 측의 밸브(120)가 개방되어 록실(105)과 제 1 진공 반송실(104)의 반송실이 연통되고, 진공 반송로봇(108)은, 그 아암을 록실(105) 내로 신장시켜, 록실(105) 내의 웨이퍼를 제 1 진공 반송실(104)측으로 반송한다. 제 1 진공 반송실(104) 내에는, 2매 이상의 복수매의 웨이퍼를 수납할 수 있다. 진공 반송로봇(108)은, 그 아암에 탑재된 웨이퍼를, 카세트로부터 인출하였을 때에 미리 정해진 진공처리실(103) 또는 진공 반송 중간실(111)의 어느 하나로 반입한다.
본 실시예에서는 각 밸브(120)는, 1개가 선택적으로 개폐된다. 즉, 웨이퍼를 제 1 진공 반송실(104)로부터 바로 앞의 진공 처리실(103)로 반송하는 경우는, 진공 반송 중간실(111)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)와, 록실(105)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)가 폐쇄되어, 바로 앞의 진공처리실(103)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)가 개방되어, 웨이퍼가 진공처리실(103) 내로 반송된다. 또, 진공 반송 중간실(111)로 반송된 웨이퍼를 안쪽의 진공처리실(103)을 향하여 반송하는 경우는, 진공 반송 중간실(111)과 제 1 진공 반송실(104)과의 사이를 개폐하는 밸브(120)가 폐쇄되어, 진공 반송 중간실(111)이 봉지(封止)된다. 그 후, 진공 반송 중간실(111)과 제 2 진공 반송실(110)의 사이를 개폐하는 밸브(120)를 개방하여, 제 2 진공 반송실에 구비된 진공 반송로봇(108)을 신장시켜, 제 2 진공 반송실 내(110)로 웨이퍼를 반송한다. 이어서, 진공 반송로봇(108)은, 그 아암에 탑재된 웨이퍼를, 안쪽에 배치된 2개의 진공처리실(103, 103) 중의 미리 정해진 어느 하나의 진공처리실(103)로 반송한다.
웨이퍼가 안쪽에 배치된 진공처리실(103, 103) 중 어느 하나로 반송된 후, 그 진공처리실(103)과 제 2 진공 반송실(110)의 사이를 개폐하는 밸브(120)가 폐쇄되어 진공처리실(103)이 봉지된다. 그 후, 진공처리실(103) 내에 처리용 가스가 도입되어 그 진공처리실(103) 내가 소정의 압력에 도달한 곳에서 웨이퍼가 처리된다. 이 진공처리실(103) 내에서의 웨이퍼의 처리는, 바로 앞에 배치된 진공처리실(103) 내에서의 처리에서도 동일하다.
어느 진공처리실(103)에서도, 웨이퍼의 처리가 종료된 것이 검출되면, 그 진공처리실(103)과 접속된 제 1 진공 반송실(104) 또는 제 2 진공 반송실(110)의 각 반송실의 사이를 개폐하는 밸브(120)가 개방되고, 그 반송실 내의 진공 반송로봇(108)은, 처리가 끝난 웨이퍼를, 그 웨이퍼가 진공처리실(103) 내로 반입된 경우와 반대로 록실(105) 또는 진공 반송 중간실(111)을 향하여 반출한다. 안쪽의 진공처리실(103)로부터 진공 반송 중간실(111)을 거쳐 록실(105)로까지 웨이퍼가 반송되어 오거나, 바로 앞의 진공 반송실(103)로부터 록실(105)로까지 웨이퍼가 반송되어 오면, 이 록실(105)과 제 1 진공 반송실(104)의 반송실을 연통하는 통로를 개폐하는 밸브(120)가 폐쇄되어 제 1 진공 반송실(104)의 반송실이 밀봉되고, 록실(105) 내의 압력이 대기압까지 상승된다.
그 후, 박스체(106)의 안쪽의 밸브(120)가 개방되어 록실(105)의 내부와 박스체(106)의 내부가 연통되어 대기압의 상태가 되고, 대기반송로봇(109)은, 록실(105)로부터 원래의 카세트로 웨이퍼를 반송하여 카세트 내의 원래의 위치로 되돌린다.
본 발명은, 진공처리실(103)에서 웨이퍼를 처리하는 시간, 또는 웨이퍼가 진공처리실(103) 내에 체재하는 시간이 웨이퍼를 반송하는 시간보다 짧은 반송 율속의 경우에 있어서 특히 효과를 발휘하는 것이다. 본 발명의 제 1 실시예의 진공처리시스템은, 바로 앞에 배치한 제 1 진공 반송실(104) 및 안쪽에 배치한 제 2 진공 반송실(110)을 구비하고, 바로 앞에 배치된 제 1 진공 반송실(104)에는 1개의 진공 처리실(103)을 구비하고, 안쪽에 배치된 제 2 진공 반송실(110)에는 2개의 진공 처리실(103, 103)을 구비한 것이다. 이 경우, 제 1 진공 반송실(104) 및 제 2 진공 반송실(110)에는, 2개의 아암을 구비한 진공 반송 로봇이 배치되어 있다. 이와 같이, 바로 앞에 1개의 진공 처리실(103)을 구비하고, 안쪽에 2개의 진공 처리실(103, 103)을 구비하는 구성으로 함으로써, 바로 앞에 배치한 진공처리실(103) 내에서의 처리가 끝난 웨이퍼의 반송 대기시간이 짧아져, 생산성이 높은 진공처리시스템을 구성할 수 있는 것이다.
도 2a, 도 2b는 도 1에 나타내어 설명한 제 1 진공 반송실(104)의 확대도이다. 진공 반송로봇(108)은 웨이퍼를 반송하기 위한 제 1 아암(201) 및 제 2 아암(202)을 구비하고 있다. 본 실시예에서는 아암은 2개이나, 3개 또는 4개의 복수개이어도 된다.
각각의 아암(201, 202)은, 관절을 거쳐 복수의 빔 부재의 양쪽 끝이 연결되어 있는 구조를 가지고 있다. 각 아암(201, 202)은, 복수의 빔 부재의 양쪽 끝에서 회동 가능하게 축 지지됨으로써, 각 아암(201, 202)이 각각 근원측 끝부의 축 둘레로, 회전운동, 상하방향, 수평방향의 신축 운동을 독립하여 동작 가능한 구성을 구비하고 있다. 이 구성에 의하여 복수의 웨이퍼의 반입·반출을 독립하여 제어 가능하게 되어, 복수의 반송지에 병렬로 액세스하거나, 2매의 웨이퍼를 동시에 반입·반출함으로써 반송처리능력을 높일 수 있다.
도 2(a)는 제 1 진공 반송실(104)에 각 아암(201, 202)이 각각의 장소로부터 웨이퍼를 반송하여 온 상태를 나타낸다. 도 2(b)에 제 1 아암(201)을 진공처리실(103)로 웨이퍼를 반송하고, 병렬로 제 2 아암(202)을 록실(105)로 반송한 상태를 나타낸다. 이 경우는, 웨이퍼를 1매씩 반송하는 경우와는 상위하여, 밸브(120)는 선택적으로 1개만을 개폐하는 것은 아니고, 액세스할 때에 필요한 2개의 밸브(120, 120)의 개폐 제어를 하지 않으면 안된다.
그와 같은 경우에서도, 상기한 바와 같이 바로 앞에 1개의 진공 처리실(103)을 구비하고, 안쪽에 2개의 진공처리실(103, 103)을 구비하는 구성의 진공처리시스템(100)에 의하여, 설치 면적당 웨이퍼의 처리 효율이 높아진다.
이것은, 이하의 이유에 의한다. 상기한 반송 율속의 경우, 진공처리실(103)로 웨이퍼를 반송하는 시간[진공 반송 로봇(108)이 웨이퍼를 유지한 상태로 진공처리실의 앞에서 대기한 상태로부터 진공처리실(103) 내로의 웨이퍼의 반송이 완료되어 밸브(120)가 폐쇄되기까지의 시간]과, 진공 반송 중간실(111)로 웨이퍼를 반송하는 시간[진공 반송로봇(108)이 웨이퍼를 유지한 상태로 반송 중간실(111)의 앞에서 대기한 상태로부터 반송 중간실(111)로의 웨이퍼의 반송이 완료하여 밸브(120)가 폐쇄되기까지의 시간]을 비교하면, 진공 반송 중간실(111)로의 반송시간이 짧다. 이 때문에, 가령, 바로 앞에 배치된 제 1 진공 반송실(104)에는 진공처리실(103)이 2개 접속되고, 안쪽에 배치된 제 2 진공 반송실(110)에는 진공처리실(103)이 1개 접속되면, 바로 앞에 배치된 제 1 진공 반송실(104)에서의 웨이퍼 반송시간이 진공처리시스템(100) 전체의 반송시간의 병목(bottle neck)이 된다. 한편, 본 발명의 제 1 실시예에서는, 안쪽에 배치된 제 2 진공 반송실(110)이 병목이 됨으로써, 바로 앞에 배치된 제 1 진공 반송실(104)이 병목이 되는 것을 억제하여, 진공처리시스템(100) 전체로서의 처리효율이 손상되는 것을 방지할 수 있기 때문에, 본 실시예의 진공처리실의 배치 구성에 의하여, 설치 면적당 웨이퍼의 처리효율이 높게 할 수 있다.
또, 이 제 1 실시예에서는, 제 1 진공 반송실(104)과 바로 앞의 진공처리실(103) 또는 록실(105)[또는 제 2 진공 반송실(110)과 안쪽의 진공처리실(103) 또는 진공 반송 중간실(111)]의 사이를 배타적으로 개폐하는 밸브(120)를 거쳐 연통하는 구조로 되어 있기 때문에, 이물이나 교차 오염의 억제에 관하여 효과적이다.
도 1의 시스템 구성의 경우, 진공처리실(103)을 접속할 수 있는 물리적인 최대수는 5개이다. 그러나, 본 발명에서는 바로 앞에 배치된 제 1 진공 반송실(104)에 접속되는 진공처리실(103)의 수가, 안쪽에 배치된 제 2 진공 반송실(110)에 접속되는 진공처리실(103)의 수보다 적은 것이 중요하다. 그래서, 다음의 실시예는 진공처리실이 4개 접속된 경우에 도 1에 나타낸 실시예와 동등한 웨이퍼의 처리효율이 얻어지는 운용예이다.
도 3은 도 1에서 나타낸 제 1 실시예에 대하여, 바로 앞에 배치된 제 1 진공 반송실(104)에 진공처리실(103)을 1개 더하여, 2개의 진공처리실(103, 103)을 구비한 것이다. 이와 같은 제 2 실시예의 형태에서는, 웨이퍼를 처리하는 경우에 처리시간에 따라서, 제 1 진공 반송실(104)에 구비된 2개의 진공처리실(103, 103) 중 어느 하나의 한쪽의 진공처리실(103)만을 선택적으로 사용한다. 그리고, 대기 반송측으로부터 먼 안쪽의 제 2 진공 반송실(110)에 접속된 복수의 진공처리실(103, 103)을 사용하여 생산함으로써, 도 1에 나타낸 제 1 실시예와 동일한 생산효율의 향상의 효과가 얻어지는 것이다.
단, 본 발명이 대상으로 하는 점인, 바로 앞에 배치된 제 1 진공 반송실(104)에서의 웨이퍼 반송시간이 진공처리시스템(100) 전체의 반송시간의 병목이 되는 경우가 아닌 경우에는, 바로 앞에 배치된 제 1 진공 반송실(104)에도, 복수의 진공처리실(103, 103)을 접속하여 두면, 진공처리실(103)의 웨이퍼의 처리시간 또는, 진공처리실(103) 내에서의 체재시간에 따라서는, 바로 앞에서도 모든 진공처리실(103, 103)을 사용하는 쪽이 생산 효율이 향상하는 경우도 있기 때문에, 진공처리장치에 구비된 제어장치(도시 생략)는 처리시간에 따른 진공처리실(103)의 생산성 효율이 최적이 되는 운용을 행하는 것을 배제하지 않는다.
제 2 실시예에서도, 각 밸브(120)는, 1개가 선택적으로 개폐된다. 즉, 진공 반송 중간실(111)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)와, 록실(105)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브가 폐쇄되고, 또한 바로 앞 우측의 진공처리실(103)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)가 개방하여, 웨이퍼가 바로 앞 좌측의 진공처리실(103)에 반송된다. 좌우의 어느 것의 진공처리실(103)을 사용할지는 임의이다. 또, 진공 반송 중간실(111)에 반송된 웨이퍼는 제 1 진공 반송실(104)과의 사이를 개폐하는 밸브(120)가 폐쇄되어 진공 반송 중간실(111)이 봉지된다. 그 후, 진공 반송 중간실(111)과 제 2 진공 반송실(110)의 사이를 개폐하는 밸브(120)를 개방하여, 제 2 진공 반송실(110)에 구비된 진공 반송 로봇(108)을 신장시켜, 제 2 진공 반송실 내(110)에 웨이퍼를 반송한다. 진공 반송 로봇(108)은, 그 아암에 탑재된 웨이퍼를, 카세트로부터 인출하였을 때에 미리 정해진 안쪽의 어느 하나의 진공처리실(103)로 반송된다.
웨이퍼가 안쪽의 어느 하나의 진공처리실(103)로 반송된 후, 이 진공처리실(103)과 제 1 진공 반송실(104)의 사이를 개폐하는 밸브(120)가 폐쇄되어 진공처리실(103)이 봉지된다. 그 후, 진공처리실(103) 내에 처리용 가스가 도입되어 진공 처리실(103) 내가 소정의 압력에 도달한 곳에서 웨이퍼가 처리된다.
웨이퍼의 처리가 종료한 것이 검출되면, 상기한 진공처리실(103)과 접속된 제 1 진공반송실(104) 또는 제 2 진공반송실(110)의 반송실의 사이를 개폐하는 밸브(120)가 개방되고, 진공반송로봇(108)은, 처리가 끝난 웨이퍼를, 상기 웨이퍼가 진공처리실(103) 내로 반입된 경우와 반대로 록실(105)을 향하여 반출한다. 록실(105)로 웨이퍼가 반송되면, 이 록실(105)과 제 1 진공 반송실(104)의 반송실을 연통하는 통로를 개폐하는 밸브(120)가 폐쇄되어 제 1 진공 반송실(104)의 반송실이 밀봉되고, 록실(105) 내의 압력이 대기압까지 상승된다.
그 후, 박스체(106) 안쪽의 밸브(120)가 개방되어 록실(105)의 내부와 박스체(106)의 내부가 연통되고, 대기 반송 로봇(109)은, 록실(105)로부터 원래의 카세트에 웨이퍼를 반송하여 카세트 내의 원래의 위치로 되돌린다.
본 발명은, 제 2 실시예와 같이 바로 앞에 배치된 제 1 진공 반송실(104)에 2개의 진공 처리실(103, 103)을 구비한 것이어도, 바로 앞의 진공처리실(103, 103)의 어느 한쪽의 진공처리실(103)을 임의로 선택하여 사용함으로써, 제 1 실시예와 동일한 효과를 가지는 것을 가능하게 하는 진공처리방법을 제공하는 것이다.
100 : 진공처리시스템 101 : 대기측 블록
102 : 진공측 블록 103 : 진공처리실
104 : 제 1 진공 반송실 105 : 록실
106 : 박스체 107 : 카세트대
108 : 진공 반송로봇 109 : 대기반송로봇
110 : 제 2 진공 반송실 111 : 진공 반송 중간실
120 : 밸브 201 : 제 1 아암
202 : 제 2 아암

Claims (5)

  1. 복수의 카세트대가 전면(前面)측에 배치되고, 상기 복수의 카세트대 중의 하나에 설치된 카세트 내에 수납된 웨이퍼가 반송되는 대기 반송실과, 상기 대기 반송실의 뒤쪽에 배치되고, 내부에 상기 대기 반송실로부터 반송되는 상기 웨이퍼를 수납하는 록실과, 상기 록실의 뒤쪽에 연결되고, 상기 록실로부터 상기 웨이퍼가 반송되는 제 1 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결된 반송 중간실과, 상기 반송 중간실의 뒤쪽에 연결되고, 상기 반송 중간실로부터 상기 웨이퍼가 반송되는 제 2 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 적어도 하나의 진공처리실과, 상기 제 2 진공 반송실의 뒤쪽에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 2개 이상의 진공처리실을 구비하고,
    상기 제 1 진공 반송실에 연결된 진공처리실의 수가 상기 제 2 진공 반송실에 연결된 진공처리실의 수보다 적은 것을 특징으로 한 반도체 피처리 기판의 진공처리시스템.
  2. 제 1항에 있어서,
    상기 제 1 진공 반송실에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 제 1 진공처리실과, 상기 제 2 진공 반송실에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 제 2 및 제 3 진공처리실로서,
    상기 제 1 진공 반송실에 연결된 진공처리실의 수를 1개로 하고, 상기 제 2 진공 반송실에 연결된 진공처리실의 수를 2개로 한 것을 특징으로 한 반도체 피처리 기판의 진공처리시스템.
  3. 제 1항 또는 제 2항에 있어서,
    상기 제 1 및 제 2 진공 반송실의 각각의 내부에는 반송 로봇을 배치하고, 상기 반송 로봇은, 복수의 아암을 가지는 반송 로봇을 구비한 것을 특징으로 한 반도체 피처리 기판의 진공처리시스템.
  4. 복수의 카세트대가 전면(前面)측에 배치되고, 상기 복수의 카세트대 중의 하나에 설치된 카세트 내에 수납된 웨이퍼가 반송되는 대기 반송실과, 상기 대기 반송실의 뒤쪽에 배치되고, 내부에 상기 대기 반송실로부터 반송되는 상기 웨이퍼를 수납하는 록실과, 상기 록실의 뒤쪽에 연결되고, 상기 록실로부터 상기 웨이퍼가 반송되는 제 1 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결된 반송 중간실과, 상기 반송 중간실의 뒤쪽에 연결되고, 상기 반송 중간실로부터 상기 웨이퍼가 반송되는 제 2 진공 반송실과, 상기 제 1 진공 반송실의 뒤쪽에 연결되고, 상기 제 1 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 복수의 진공처리실과, 상기 제 2 진공 반송실의 뒤쪽에 연결되고, 상기 제 2 진공 반송실로부터 반송된 상기 웨이퍼를 처리하는 복수의 진공처리실을 구비한 반도체 피처리 기판의 진공처리시스템을 사용하여 반도체 피처리 기판을 처리하는 진공처리방법에 있어서, 상기 제 1 진공 반송실에 연결된 복수의 진공처리실 중의 하나의 진공처리실을 사용하도록 상기 웨이퍼의 반송을 제어하는 것을 특징으로 한 반도체 피처리 기판의 진공처리방법.
  5. 제 3항에 있어서,
    상기 제 1 및 제 2 진공 반송실의 각각의 내부에는 반송 로봇을 배치하고, 상기 반송 로봇은, 복수의 아암을 가지는 반송 로봇을 구비하고 있고,
    상기 제 1 진공 반송실에 연결된 복수의 진공처리실 중의 하나의 진공처리실을 사용하도록 상기 반송 로봇에 의한 상기 웨이퍼의 반송을 제어하는 것을 특징으로 한 반도체 피처리 기판의 진공처리방법.
KR20100079634A 2009-11-12 2010-08-18 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법 KR101350872B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009258491 2009-11-12
JPJP-P-2009-258491 2009-11-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020120095216A Division KR101329664B1 (ko) 2009-11-12 2012-08-29 진공처리장치 및 진공처리장치의 운전방법

Publications (2)

Publication Number Publication Date
KR20110052442A true KR20110052442A (ko) 2011-05-18
KR101350872B1 KR101350872B1 (ko) 2014-01-13

Family

ID=43974280

Family Applications (2)

Application Number Title Priority Date Filing Date
KR20100079634A KR101350872B1 (ko) 2009-11-12 2010-08-18 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR1020120095216A KR101329664B1 (ko) 2009-11-12 2012-08-29 진공처리장치 및 진공처리장치의 운전방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020120095216A KR101329664B1 (ko) 2009-11-12 2012-08-29 진공처리장치 및 진공처리장치의 운전방법

Country Status (5)

Country Link
US (2) US9011065B2 (ko)
JP (1) JP5872153B2 (ko)
KR (2) KR101350872B1 (ko)
CN (1) CN102064123B (ko)
TW (2) TWI532114B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101357889B1 (ko) * 2011-05-26 2014-02-03 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치 및 진공 처리 방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013143413A (ja) * 2012-01-10 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
JP2013143513A (ja) * 2012-01-12 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
JP6110612B2 (ja) * 2012-07-19 2017-04-05 川崎重工業株式会社 基板搬送装置
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
JP2014195008A (ja) * 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置及び真空処理装置の運転方法
JP2015076458A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ 真空処理装置
JP6293499B2 (ja) * 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
JP6491891B2 (ja) * 2015-01-23 2019-03-27 株式会社日立ハイテクノロジーズ 真空処理装置
CN105887044A (zh) * 2016-05-25 2016-08-24 上海华力微电子有限公司 防止在沉积工艺的吹扫过程中对真空阀门档板污染的方法
KR102595638B1 (ko) * 2020-09-25 2023-10-31 주식회사 히타치하이테크 진공 처리 장치의 운전 방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPH0793348B2 (ja) * 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
JPH05259259A (ja) * 1992-03-11 1993-10-08 Hitachi Ltd 真空処理装置
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP2688555B2 (ja) * 1992-04-27 1997-12-10 株式会社日立製作所 マルチチャンバシステム
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US20040005211A1 (en) * 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4348921B2 (ja) * 2002-09-25 2009-10-21 東京エレクトロン株式会社 被処理体の搬送方法
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US8029226B2 (en) * 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4925650B2 (ja) * 2005-11-28 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7993461B2 (en) * 2007-05-30 2011-08-09 Intermolecular, Inc. Method and system for mask handling in high productivity chamber
JP2009062604A (ja) * 2007-09-10 2009-03-26 Tokyo Electron Ltd 真空処理システムおよび基板搬送方法
JP2009065068A (ja) * 2007-09-10 2009-03-26 Tokyo Electron Ltd 基板処理装置、基板処理装置の汚染抑制方法及び記憶媒体
JPWO2010013422A1 (ja) * 2008-08-01 2012-01-05 株式会社アルバック 搬送ロボットの制御方法
US8731706B2 (en) * 2008-09-12 2014-05-20 Hitachi High-Technologies Corporation Vacuum processing apparatus
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
JP5384925B2 (ja) * 2008-12-18 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
JP2011119468A (ja) * 2009-12-03 2011-06-16 Tokyo Electron Ltd 被処理体の搬送方法および被処理体処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101357889B1 (ko) * 2011-05-26 2014-02-03 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치 및 진공 처리 방법

Also Published As

Publication number Publication date
TWI408766B (zh) 2013-09-11
TW201342518A (zh) 2013-10-16
JP2011124564A (ja) 2011-06-23
TW201123339A (en) 2011-07-01
KR101350872B1 (ko) 2014-01-13
JP5872153B2 (ja) 2016-03-01
US9011065B2 (en) 2015-04-21
CN102064123A (zh) 2011-05-18
KR20120102562A (ko) 2012-09-18
US20110110751A1 (en) 2011-05-12
US20150194327A1 (en) 2015-07-09
CN102064123B (zh) 2014-11-05
TWI532114B (zh) 2016-05-01
KR101329664B1 (ko) 2013-11-15

Similar Documents

Publication Publication Date Title
KR101329664B1 (ko) 진공처리장치 및 진공처리장치의 운전방법
KR20110052443A (ko) 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR100313825B1 (ko) 웨이퍼형 공작물 핸들링 장치
KR101155534B1 (ko) 진공처리장치
WO2012133218A1 (ja) 基板処理装置
KR20120010945A (ko) 진공처리장치
KR101238768B1 (ko) 진공처리장치
KR20130083355A (ko) 진공 처리 장치
KR101590533B1 (ko) 진공 처리 장치 및 그 운전 방법
KR20110104420A (ko) 진공 처리 장치
KR20190020042A (ko) 기판 처리 장치
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
KR102125122B1 (ko) 기판 처리 장치
US20230282492A1 (en) Substrate processing system and substrate transfer apparatus and method
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
JP5710194B2 (ja) 真空処理装置
JP2018098387A (ja) 基板処理装置
US9962840B2 (en) Substrate conveyance apparatus
JP5892828B2 (ja) 真空処理装置
KR101700607B1 (ko) 기판처리장치
KR20140118718A (ko) 진공 처리 장치 및 진공 처리 장치의 운전 방법
CN116895570A (zh) 一种应用于多腔室多工艺的传送片系统及方法
KR20180021337A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161219

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191219

Year of fee payment: 7