TWI509722B - 處理半導體晶圓的裝置及方法 - Google Patents

處理半導體晶圓的裝置及方法 Download PDF

Info

Publication number
TWI509722B
TWI509722B TW101145717A TW101145717A TWI509722B TW I509722 B TWI509722 B TW I509722B TW 101145717 A TW101145717 A TW 101145717A TW 101145717 A TW101145717 A TW 101145717A TW I509722 B TWI509722 B TW I509722B
Authority
TW
Taiwan
Prior art keywords
platform
chambers
chamber
processing station
wafer
Prior art date
Application number
TW101145717A
Other languages
English (en)
Other versions
TW201413850A (zh
Inventor
Mao Lin Kao
Hsu Shui Liu
Tien Chen Hu
Li Jen Ko
Hsiang Yin Shen
Jiun Rong Pai
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201413850A publication Critical patent/TW201413850A/zh
Application granted granted Critical
Publication of TWI509722B publication Critical patent/TWI509722B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

處理半導體晶圓的裝置及方法
本揭露內容有關一種半導體製造,且更特別的是有關一種半導體處理站以及一種用於處理半導體晶圓的方法。
隨著半導體製程複雜度的成長,在一些不同製程模組或工具之間搬送晶圓的需求逐漸增加,且那些工具通常相距甚遠,當在分開的真空工具之間搬送晶圓時,可能導致顆粒汙染晶圓的風險增加。因此,通常要靠供應商發展或整合出原位工具,以滿足半導體製程需求,但此方式導致IP漏洞以及在選擇供應商方面貧乏的彈性。另一方面,因為平台處理室的每小時晶圓(WPH)產量不平衡,平台的生產力非常低。一些具有高WPH的處理室需要等待那些具有低WPH的處理室,而降低了製程腔室的利用率。為了解決此問題,一些傳統的方法,例如線性平台將額外的腔室連接至該平台,以增加該平台的腔室數量。然而,這種腔室的採購與操作的商業模式很複雜,且多腔室組合的整合以及軟體發展也很複雜。
因此,因為先前技術的缺陷,有需要解決上述問題。
依照本揭露內容的一方面,提供了一種半導體處理站。該半導體處理站包括第一平台、第二平台與真空通道,其中該第一平台具有第一承載室與多個第一腔室,該第二平台具有第二承載室與多個第二腔室,以及該真空通道連接該第一與該第二承載室。
依照本揭露內容的另一方面,提供了一種用於在半導體處理站中處理半導體晶圓的方法,該半導體處理站包括第一與第二集束型平台,其每個第一與第二集束型平台包括多個腔室。該方法包括在該第一集束型平台中提供該半導體晶圓,以及在真空中在該第一與該第二集束型平台之間搬送該半導體晶圓的步驟。
依照本揭露內容的再一方面,提供了一種半導體處理站。該系統包括第一平台、第二平台與真空通道,其中該第一平台包括多個第一腔室,該第二平台包括多個第二腔室,以及該真空通道與該第一以及該第二平台相通。
將關於特定的具體實施例並參照某些圖式來描述本揭露內容,但本揭露內容不限於其,而只受申請專利範圍的限制。所描述的圖式僅為示意性且非限制性。在圖式中,為了示例的目的,可能放大了一些元件的大小,且不按比例繪示。尺寸以及相對尺寸不一定相應於實施的實際縮圖。
此外,在描述以及申請專利範圍中的用語第一、第二以及諸如此類,是用於分辨相似的元件,且不一定用於描述時間上、空間上、排名上或任何其他方式中的順序。要了解的是,在適當的情況下,如此使用的用語是可交換的,且本文中所描述的具體實施例能夠以本文中所描述或示例的其他順序來操作。
要注意的是,申請專利範圍中所使用的用語「包含」不應被理解為限於其後所列出的手段;其不排除其他的元件或步驟。因此其應被理解為具體說明了所陳述的特徵、整體、步驟或元件如所提及的存在,但不排除一或更多個其他特徵、整體、步驟或元件、或其群組的存在或加入。因此,措辭「包含裝置A以及B的裝置」不應限於只由元件A以及B構成的裝置。
在整個此說明書中所提及的「一個(one)具體實施例」或「一(an)具體實施例」意指與該具體實施例有關的所描述的特定特色、結構或特徵被包括在至少一個具體實施例中。因此,在整個此說明書的各處中的措辭「在一個具體實施例中」或「在一具體實施例中」的外觀不一定都意指相同的具體實施例,但可能意指相同的具體實施例。此外,在一或更多的具體實施例中,從此揭露內容,如同對於本領域具一般技藝的技術人員而言為顯而易見的,可使用任何適合的方式來結合特定的特色、結構或特徵。
同樣地,應領略的是,在示範性具體實施例的描述中,為了簡化本揭露內容並幫助了解一或更多的各種具創造性的方面的目的,有時在單一的具體實施例、圖式或其描述中聚集了各種特徵。然而,此方法的揭露內容不應被理解為反映出所主張的發明需要多於每個申請專利範圍中所明確列舉的特徵的企圖。更確切而言,如同下述申請專利範圍所反映的,具創造性的方面在於少於單一前述揭露的具體實施例的所有特徵。因此,特此將在詳細描述之後的申請專利範圍明確地併入於此詳細描述 中,每個申請專利範圍依據其本身而作為個別的具體實施例。
此外,雖然本文中所描述的一些具體實施例包括一些特徵,但不包括在其他具體實施例中所包括的其他特徵,如同本領域的技術人員將了解的,不同具體實施例的特徵的組合是意欲在本發明的範圍內的,並形成不同的具體實施例。例如,在下述申請專利範圍中,任何所主張的具體實施例可用於任何組合中。
在本文中所提供的描述中,提出了許多具體的細節。然而,要了解的是,沒有這些具體的細胞也可實施具體實施例。在其他的情況中,為了模糊此描述的了解,沒有詳細地示出熟知的方法、結構以及技術。
將藉由數個具體實施例的詳細描述來描述本揭露內容。清楚的是,可根據本領域技術人員的知識來配置其他具體實施例,而不悖離本揭露內容的真實技術教導,所主張的發明只由附帶申請專利範圍的項目限制。
此後,將參照伴隨的圖式來詳細地解釋本發明的具體實施例。
第1圖示出了依照本揭露內容的具體實施例的半導體處理站100。該半導體處理站100包括第一平台120、第二平台140與真空通道160,其中該第一平台120具有該第一承載室122a與多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249,該第二平台140具有第二承載室142a與多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448,而該真空通道160連接該第一承載室122a與該第二承載室142a,該真空通 道160經由該第一承載室122a耦接該些第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249,並經由該第二承載室142a耦接該些第二腔室1441、1442、1443、1444、1445、1446、1447、1448。在一些具體實施例中,該真空通道160更包括真空機械手臂162a。在晶圓102的製程期間,該真空機械手臂162a在該第一承載室122a與該第二承載室142a之間搬送該晶圓102。在另一具體實施例中,該真空通道160具有耦合至該第一承載室122a的第一側160a,並且具有相對於該第一側160a並耦合至該第二承載室142的第二側160b,其導致該第一平台120與該第二平台140面對面地連接(如第2圖所示)。在更另一具體實施例中,每個該第一平台120與該第二平台140包括選自集束型平台、線性平台以及精簡平台所組成的群組的其中之一,如第1圖所示,本揭露內容以集束型平台作為該第一平台120與該第二平台140的實施。
參照第1圖,半導體處理站100更包括第一設備前端模組(EFEM)180與第三承載室182,其中該第一EFEM 180耦合至該第三承載室182,而該第三承載室182耦合至真空通道160。該第三承載室182係用以幫助晶圓102在該真空通道160的真空環境與該第一EFEM 180的大氣環境之間的搬送。該第一EFEM 180包括界面機械手臂184與載入埠186,其中該界面機械手臂184負責在該第三承載室182與該載入埠186之間搬送該晶圓102。在一種情況下,該界面機械手臂184將該晶圓102從該載入埠186搬送至該第三承載室182,接著,該真空機械手臂162a將該 晶圓102從該第三承載室182搬送至該第一承載室122a,以開始該第一平台120中的多個製程。在結束第一平台120中的製程之後,該處理過的晶圓102被放置入該第一承載室122a中,然後,該真空機械手臂162a將處理過的晶圓102從該第一承載室122a搬送至該第二承載室142a,以在該第二平台140中進行多個製程。在結束該第二平台140中的製程之後,將處理過的晶圓102放入該第二承載室142a中,接著,該真空機械手臂162將處理過的晶圓102從該第二承載室142a搬送至該第三承載室182。然後,該界面機械手臂184將處理過的晶圓102從該第三承載室182搬送至該載入埠186,結束該製程。在一些具體實施例中,該載入埠186包括用於儲存許多晶圓的FOUP(前置式晶圓傳送盒)。
參照第1圖,第一平台120包括多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249,以及被配置在分別鄰接於該多個第一腔室1248與1249的第一承載室122a、122b。第二平台140包括多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448,以及第二承載室142a、142b被配置成分別鄰接於該多個第二腔室1467、1468。在一些具體實施例中,該多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249耦合至第一中央搬送腔室124a,該第一中央搬送腔室124a設置至少一搬送機械手臂126a(例如兩個搬送機械手臂126a、126b),以幫助晶圓在周遭多個第一腔室1241、1242、1243、1244、1245、1246、1247、1447、1448之間的搬送。該多個第二腔 室1241、1242、1243、1244、1245、1246、1247、1447、1448耦合至第二中央搬送腔室144a,該第二中央搬送腔室144a設置至少一搬送機械手臂146a(例如兩個搬送機械手臂146a、146b),以幫助晶圓在周遭多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448之間的搬送。搬送機械手臂126a、126b、146a、146b具有用以在周遭多個第一腔室1241、1242、1243、1244、1245、1246、1247、1447、1448以及該多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448之間搬送該晶圓的活動範圍。
在另一具體實施例中,多個第一腔室1241、1242、1243為第一製程腔室,多個第一腔室1245、1246為第二製程腔室,多個第一腔室1244、1247為第三製程腔室,以及多個第一腔室1248、1249為第四製程腔室。另一方面,多個第二腔室1441、1442、1443、1444為第五製程腔室,多個第二腔室1445、1446為第六製程腔室,以及多個第二腔室1447、1448為第七製程腔室。該第一、第二、第三、第四、第五、第六以及第七製程腔室的WPH(每小時晶圓產量)不同。例如,該第一製程腔室的WPH低於該第二以及第三製程腔室的WPH,且該第五製程腔室的WPH低於該第六製程腔室的WPH。此外,可領略的是,該第一、第二、第三、第四、第五、第六以及第七製程腔室中的每一個被利用作為蝕刻製程腔室、物理氣相沉積製程腔室、化學氣相沉積製程腔室、離子植入製程腔室、微影製程腔室以及諸如此類。在更另一具體實施例中,該第一平台120負責第一製程,以及該第二平台負責第 二製程。
參照第1圖,第一搬送腔室124a包括至少一第一空間128a(例如128a、128b),第二搬送腔室144a包括至少一第二空間148a(例如148a、148b)。在一些具體實施例中,該第一空間128a、128b與該第二空間148a、148b用於放置處理過的晶圓,並讓處理過的晶圓等待下一個製程(如同本揭露內容第28段所解釋的)。在另一具體實施例中,該第一空間128a、128b以及該第二空間148a、148b是用於冷卻處理過的晶圓102的地方。
參照第1圖,界面機械手臂184將晶圓102從載入埠186搬送至第三承載室182,接著,真空機械手臂162a將該晶圓102從該第三承載室182搬送至第一承載室122b。然後,搬送機械手臂126a將該晶圓102從該第一承載室122b搬送至多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249的其中一個,以開始第一平台120中的製程。在結束該第一平台120中的製程之後,該搬送機械手臂126a將處理過的晶圓102從該多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249搬送至第一承載室122a。接下來,該真空機械手臂162a將處理過的晶圓102從該第一承載室122a搬送至第二承載室142a,然後,搬送機械手臂146a將處理過的晶圓102從該第二承載室142a搬送至多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448的其中一個,以開始該第二平台140中的製程。在結束第二平台140中的製程之後,該搬送機械手臂146a將處理過的晶圓102從該多個第二腔室1441、1442、1443、 1444、1445、1446、1447、1448搬送至該第二承載室142b。接著,該真空機械手臂162a將處理過的晶圓102從該第二承載室142b搬送至該第三承載室182,然後,該界面機械手臂184將處理過的晶圓102從該第三承載室182搬回該載入埠186,結束該製程。
在一些具體實施例中,由於搬送機械手臂126a的移動距離有限,半導體製程100使用該搬送機械手臂126a與搬送機械手臂126b。當搬送距離超過該搬送機械手臂126a的移動距離時,該搬送機械手臂126a將該晶圓102從該第一承載室122b搬送至該第一空間128a,接著,該搬送機械手臂126b將該晶圓102從該第一空間128a搬送至該第一平台120中的目標腔室。在該第一平台120中的製程結束之後,該搬送機械手臂126b將處理過的晶圓102從該目標腔室搬送至該第二空間128b。接著,該搬送機械手臂126a將處理過的晶圓102從該第二空間128b搬送至該第一承載室122a。然後,該真空機械手臂162a將處理過的晶圓102從該第一承載室122a搬送至該第二承載室142a。該第二平台140中該搬送機械手臂146a、146b的操作與該第一平台120中的搬送機械手臂126a、126b的操作相同。
在另一具體實施例中,如第1圖中所示,真空通道160包括兩個真空機械手臂162a、162b以及晶圓台164。界面機械手臂184將該晶圓102從該載入埠186搬送至該第三承載室182,接著,該真空機械手臂162a將該晶圓102從該第三承載室182搬送至該第一承載室122b。在該晶圓102結束該第一平台120中的製程之後,該搬送機械手臂 126a將該晶圓102從該多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249搬送至該第一承載室122a。接下來,該真空機械手臂162b將處理過的晶圓102從該第一承載室122a搬送至該晶圓台164。當該第二承載室142可用時,該真空機械手臂162b將處理過的晶圓102從該晶圓台164搬送至該第二承載室142a。在處理過的晶圓102結束該第二平台140中的製程之後,該搬送機械手臂146a將處理過的晶圓102從該多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448搬送至該第二承載室142b,然後,該真空機械手臂162b將處理過的晶圓102從該第二承載室142b搬送至該晶圓台164。接著,該真空機械手臂162a將處理過的晶圓102從該晶圓台164搬回第一EFEM 180。
參見第2圖,其示出了依照本揭露內容的另一具體實施例的半導體處理站200。該半導體處理站200更包括第四承載室104與第二EFEM 106。界面機械手臂184將晶圓102從載入埠186搬送至第三承載室182,接著,真空機械手臂162a將該晶圓102從該第三承載室182搬送至第一平台120。在該晶圓102結束該第一平台120中的製程之後,真空機械手臂162b將處理過的晶圓從該第一平台120搬送至第二平台140。在處理過的晶圓102結束該第二平台140中的製程之後,該真空機械手臂162b將處理過的晶圓102從該第二平台140搬送至該第四承載室104,接著,處理過的晶圓102被送回至EFEM 106。比較上述半導體處理站100與該半導體處理站200的操作,它們之間的差異僅在於:當該第二平台140中的製程結束時,處理過的 晶圓102被放入該第二承載鎖142a中,且該真空機械手臂162b將處理過的晶圓102經由該第四承載室104而搬送至該第二EFEM 106。
參見第3圖,其示出了依照本揭露內容的另一具體實施例的半導體處理站300。第一平台120包括控制該第一平台120的操作的第一控制系統320,而第二平台140包括控制該第二平台140的操作的第二控制系統340。該半導體處理站300更包括第三控制系統360與主機控制系統380,其中該第三控制系統360控制第一EFEM 180、第三承載室182以及真空通道160的操作,而該主機控制系統380控制該半導體處理站300的操作。也就是說,該主機控制系統380控制該第一、該第二以及該第三控制系統的相互作用,並指派上述三個系統的工作流程,以操控該半導體處理站300的操作,雖然該第一、該第二平台120、140的操作分別是獨立的。在一些具體實施例中,該第一平台120與該第二平台140可同時運作。
第4圖示出了依照本揭露內容的另一具體實施例的半導體處理站400。該半導體處理站400包括第一子處理站420與第二子處理站440,以及在該第一與該第二子處理站420、440之間耦合的緩衝台460。該第一與該第二子處理站420、440分別為上述半導體處理站100的實施。該緩衝台460包括第一子緩衝台462、第二子緩衝台464以及緩衝機械手臂466,其中該第一子緩衝台462耦合至該第一子處理站420,而該第二子緩衝台464耦合至該第二子處理站440。該緩衝機械手臂466被配置在該第一子緩衝台462與該第二子緩衝台464之間。
參見第4圖,第一子處理站420包括第一平台120、第二平台140與真空通道160,第二子處理站440包括第三平台520、第四平台540與真空通道560。該第三平台520與該第四平台540的配置類似於該第一與該第二平台120、140的配置,而該真空通道560類似於真空通道160的配置。在一些具體實施例中,該第一、第二、第三以及第四平台分別負責第一、第二、第三以及第四製程。該晶圓被搬送至該第一平台120中,以進行該第一製程,然後,真空機械手臂將處理過的晶圓從該第一平台120經由該真空通道160而搬送至該第二平台140。在結束該第二平台140中的製程之後,處理過的晶圓從該第二平台140被搬送至該第一子緩衝台462。當該第二子處理站440可用時,該緩衝機械手臂466將處理過的晶圓從該第一子緩衝台462搬送至該第二子緩衝台464,接著,處理過的晶圓被搬送至該第三平台520,以進行該第三製程。在結束該第三製程之後,經處理的晶圓經由該真空通道560被搬送至該第四平台540,以進行該第四製程。應領略的是,上述該第一、第二、第三以及第四平台的處理順序(該晶圓從該第一子處理系統420搬送至該第二子處理系統440的順序)僅用於示範該半導體處理站400的操作,處理順序可能不同。例如,可將該晶圓從該第二子處理系統440搬送至該第一子處理系統420。在一些具體實施例中,該緩衝台460具有範圍為10-4至10-6大氣壓的操作壓力。在另一具體實施例中,該真空通道160、560具有範圍為10-4至10-6大氣壓的操作壓力。因此,在真空中將該晶圓經由該緩衝台460在該第一子處理站420以及該第二子 處理站之間搬送,藉以減少晶圓的顆粒汙染的風險。
基於上述,本揭露內容具有許多優於傳統工具的優勢。一方面,本揭露內容中所揭露的半導體處理站可經由真空通道而將多個平台連結在一起,以完成製程而不用擔心晶圓的顆粒汙染。另一方面,該半導體處理站不受腔室數目的限制,在第一以及第二平台中可將具有較低WPH的製程腔室的數量配置的比具有較高WPH的製程腔室多,以增加該半導體處理站的生產力,並減低如第25段中所示例的個別腔室停機時間的影響。
第5圖示出了在依照本揭露內容一個具體實施例的半導體處理站100中用於處理半導體晶圓102的方法500的流程圖。該半導體處理站100包括第一與第二集束型平台(例如該第一與該第二平台120、140,該第一集束型平台包括多個第一腔室(例如該多個第一腔室1241、1242、1243、1244、1245、1246、1247、1248、1249),該第二集束型平台包括多個第二腔室(例如該多個第二腔室1441、1442、1443、1444、1445、1446、1447、1448)。在步驟502中,在該第一集束型平台(例如該第一平台120)中提供該半導體晶圓102。在步驟504中,在真空中在該第一與該第二集束型平台之間搬送該半導體晶圓102。在一些具體實施例中,該第一集束型平台包括第一承載室(例如該第一承載室122a),而該第二集束型平台包括第二承載室(例如該第二承載室142a)。在另一具體實施例中,經由該第一與該第二承載室而在該第一與該第二集束型平台之間搬送該半導體晶圓。在更另一具體實施例中,該搬送步驟包括經由真空通道160在 該第一與該第二集束型平台之間搬送該半導體晶圓102的子步驟。
依照本揭露內容的具體實施例,半導體處理站包括第一平台、第二平台以及真空通道,其中該第一平台具有第一承載室與多個第一腔室,該第二平台具有第二承載室與多個第二腔室,而該真空通道連接該第一與該第二承載室。
在各種實施中,真空通道具有耦合至第一承載室的第一側,以及具有耦合至第二承載室的第二側,其中該第二側相對於該第一側。半導體處理站更包括設備前端模組(EFEM)與第三承載室,其中該EFEM耦合至該第三承載室,而該第三承載室耦合至該真空通道。該EFEM更包括界面機械手臂與載入埠,其中該EFEM是在大氣壓下。該半導體處理站更包括控制該EFEM、該第三承載室與該真空通道的操作的第三控制系統,以及該第一平台包括控制該第一平台的操作的第一控制系統,以及該第二平台包括控制該第二平台的操作的第二控制系統。每個該第一與該第二平台包括選自集束型平台、線性平台以及精簡平台所組成的群組的其中之一。該真空通道更包括至少一真空機械手臂以及配置在該真空機械手臂旁的一個晶圓台,其中該真空通道具有範圍為10-4至10-6大氣壓的操作壓力。該半導體處理站更包括耦合至該真空通道的緩衝台,其中該緩衝台具有範圍為10-6大氣壓的操作壓力。
依照本揭露內容的具體實施例,提供了一種用於在半導體處理站中處理半導體晶圓的方法。該半導體處理站包 括第一與第二集束型平台,其每個第一與第二集束型平台包括多個腔室。該方法包括下述步驟:在該第一集束型平台中提供該半導體晶圓;以及在真空中在該第一與該第二集束型平台之間搬送該半導體晶圓。在一方面中,該第一集束型平台包括第一承載室,而該第二集束型平台包括第二承載室。在另一方面中,經由該第一與該第二承載室而在該第一與該第二集束型平台之間搬送該半導體晶圓。在更另一方面中,該搬送步驟包括經由真空通道而在該第一與該第二集束型平台之間搬送該半導體晶圓的子步驟。
依照本揭露內容的一些具體實施例,半導體處理站包括第一平台、第二平台與真空通道,其中該第一平台包括多個第一腔室,該第二平台包括多個第二腔室,而該真空通道與該第一以及該第二平台相通。在一方面中,該真空通道包括第一側、第二側、第一端與第二端,其中該第二側相對於該第一側,且該第二端相對於該第一端。該第一平台連接至該第一側,而該第二平台連接至該第二側。在另一方面中,該半導體處理站更包括EFEM與緩衝台,其中該EFEM連接至該第一端,該緩衝台連接至該第二端,且每個該第一與該第二平台包括選自集束型平台、線性平台以及精簡平台所組成的群組的其中之一。
雖然已在目前視為最實際且較佳的具體實施例方面描述了本發明,要了解的是,本發明不需限於所揭露的具體實施例。因此,意欲涵蓋包括在附帶申請專利範圍的精神與範圍內的各種修飾以及類似配置,附帶的申請專利 範圍符合最廣的釋義,以包含所有的這種修飾以及類似結構。
102‧‧‧晶圓
104、122a、122b、142a、142b、182‧‧‧承載室
106、180‧‧‧第一設備前端模組(EFEM)
100、200、300、400‧‧‧半導體處理站
120、140、520、540‧‧‧平台
124a、144a‧‧‧中央搬送腔室
128a、128b、148a、148b‧‧‧空間
160、560‧‧‧真空通道
126a、126b、146a、146b‧‧‧搬送機械手臂
160a‧‧‧第一側
160b‧‧‧第二側
162a、162b‧‧‧真空機械手臂
164‧‧‧晶圓台
184‧‧‧界面機械手臂
186‧‧‧載入埠
320、340、360‧‧‧控制系統
380‧‧‧主機控制系統
440‧‧‧子處理站
460‧‧‧緩衝台
462、464‧‧‧子緩衝台
466‧‧‧緩衝機械手臂
500‧‧‧方法
1241、1242、1243、1244、1245、1246、1247、1248、1249、1441、1442、1443、1444、1445、1446、1447、1448‧‧‧腔室
當與伴隨的圖式一起閱讀時,從下列詳細描述最可了解本揭露內容。要強調的是,依照業界中的標準實務,不按比例示出各種特徵,且各種特徵僅用於示例的目的。事實上,為了討論的清楚性,可任意增加或減少各種特徵的尺寸。
第1圖示出了依照本揭露內容的一個具體實施例的半導體處理站。
第2圖,其示出了依照本揭露內容的另一具體實施例的半導體處理站。
第3圖,其示出了依照本揭露內容的另一具體實施例的半導體處理站。
第4圖示出了依照本揭露內容的另一具體實施例的半導體處理站。
第5圖示出了在依照本揭露內容的一個具體實施例的半導體處理站中用於處理半導體晶圓的方法的流程圖。
102‧‧‧晶圓
100‧‧‧半導體處理站
120、140‧‧‧平台
124a、144a‧‧‧中央搬送腔室
128a、128b、148a、148b‧‧‧空間
160‧‧‧真空通道
122a、122b、142a、142b、182‧‧‧承載室
126a、126b、146a、146b‧‧‧搬送機械手臂
162a、162b‧‧‧真空機械手臂
164‧‧‧晶圓台
180‧‧‧第一設備前端模組(EFEM)
184‧‧‧界面機械手臂
186‧‧‧載入埠
1241、1242、1243、1244、1245、1246、1247、1248、1249、1441、1442、1443、1444、1445、1446、1447、1448‧‧‧腔室

Claims (9)

  1. 一種半導體處理站,包含:一第一平台,其具有一第一承載室與多個第一腔室;一第二平台,其具有一第二承載室與多個第二腔室;以及一真空通道,其連接該第一與該第二承載室;其中該真空通道經由該第一承載室耦接該些第一腔室,並經由該第二承載室耦接該些第二腔室。
  2. 如申請專利範圍第1項所述的半導體處理站,其中該真空通道具有一第一側與一第二側,該第一側耦合至該第一承載室,該第二側相對於該第一側且耦合至該第二承載室。
  3. 如申請專利範圍第1項所述的半導體處理站,更包含一設備前端模組(EFEM)與一第三承載室,其中該EFEM更包含一界面機械手臂與一載入埠,該EFEM耦合至該第三承載室,而該第三承載室耦合至該真空通道。
  4. 如申請專利範圍第3項所述的半導體處理站,更包含控制該EFEM、該第三承載室和該真空通道的一操作的一第三控制系統,其中該EFEM具有一大氣壓。
  5. 一種用於在一半導體處理站中處理一半導體晶圓的方法,該半導體處理站包括一第一與一第二集束型平台,該第一與第二集束型平台的每一個包括多個腔室,該方法包含下述步驟:在該第一集束型平台中提供該半導體晶圓;以及在一真空中在該第一與該第二集束型平台之間搬送該半導體晶圓;其中該第一集束型平台包括一第一承載室,該第二集束型 平台包括一第二承載室,該方法經由該第一與該第二承載室而在該第一與該第二集束型平台之間搬送該半導體晶圓。
  6. 如申請專利範圍第5項所述的方法,其中該搬送步驟包括經由一真空通道而在該第一與該第二集束型平台之間搬送該半導體晶圓的一子步驟。
  7. 一種半導體處理站,包含:一第一平台,其包括多個第一腔室;一第二平台,其包括多個第二腔室;一真空通道,其與該第一以及該第二平台相通;以及一緩衝台;其中該真空通道包括一第一端與相對於該第一端的一第二端,該第二端連接至該緩衝台。
  8. 如申請專利範圍第7項所述的半導體處理站,其中該真空通道還包括一第一側,以及相對於該第一側的一第二側,該第一平台連接至該第一側,而該第二平台連接至該第二側。
  9. 如申請專利範圍第7項所述的半導體處理站,更包含:一EFEM,其連接至該第一端;其中該第一與該第二平台的每一個包括選自一集束型平台、一線性平台與一精簡平台所組成的群組的其中之一。
TW101145717A 2012-09-27 2012-12-05 處理半導體晶圓的裝置及方法 TWI509722B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/629,498 US9558974B2 (en) 2012-09-27 2012-09-27 Semiconductor processing station and method for processing semiconductor wafer

Publications (2)

Publication Number Publication Date
TW201413850A TW201413850A (zh) 2014-04-01
TWI509722B true TWI509722B (zh) 2015-11-21

Family

ID=50339016

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101145717A TWI509722B (zh) 2012-09-27 2012-12-05 處理半導體晶圓的裝置及方法

Country Status (3)

Country Link
US (2) US9558974B2 (zh)
CN (1) CN103700605B (zh)
TW (1) TWI509722B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9147592B2 (en) * 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR20160119380A (ko) * 2015-04-03 2016-10-13 삼성전자주식회사 기판 제조 장치, 기판 제조 방법, 및 그를 포함하는 패브리케이션 라인
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10840121B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for unpacking semiconductor wafer container
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN108227508B (zh) * 2016-12-15 2020-12-29 中芯国际集成电路制造(北京)有限公司 晶圆装卸台效率监控方法
GB2570510A (en) * 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
WO2020072507A1 (en) * 2018-10-04 2020-04-09 Applied Materials, Inc. Transport system
EP4102550A4 (en) * 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
CN211879343U (zh) * 2020-04-10 2020-11-06 北京北方华创微电子装备有限公司 一种半导体加工设备
JP2021180306A (ja) * 2020-05-12 2021-11-18 エーエスエム・アイピー・ホールディング・ベー・フェー 高スループットマルチチャンバ基材処理システム
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
US20220139740A1 (en) * 2020-11-02 2022-05-05 Applied Materials, Inc. Chamber interface for linked processing tools
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
CN116848629A (zh) * 2021-02-17 2023-10-03 应用材料公司 用于支持多个半导体处理模块或腔室的模块化主机布局
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200410305A (en) * 2002-11-29 2004-06-16 Samsung Electronics Co Ltd Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
TWI272665B (en) * 2001-08-31 2007-02-01 Asyst Technologies Universal modular wafer transport system
CN101091241A (zh) * 2004-10-09 2007-12-19 布鲁克斯自动化公司 衬底处理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
JP2008075135A (ja) * 2006-09-21 2008-04-03 Nippon Dempa Kogyo Co Ltd 真空処理装置および大気開放方法
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
JP5501375B2 (ja) * 2009-01-11 2014-05-21 アプライド マテリアルズ インコーポレイテッド ロボットおよびロボットの電気エンドエフェクタに電気的に接続するシステム、装置、および方法
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5923288B2 (ja) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理装置の運転方法
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9281221B2 (en) * 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI272665B (en) * 2001-08-31 2007-02-01 Asyst Technologies Universal modular wafer transport system
TW200410305A (en) * 2002-11-29 2004-06-16 Samsung Electronics Co Ltd Substrate processing apparatus and method of processing substrate while controlling for contamination in substrate transfer module
CN101091241A (zh) * 2004-10-09 2007-12-19 布鲁克斯自动化公司 衬底处理装置

Also Published As

Publication number Publication date
CN103700605A (zh) 2014-04-02
US20170148651A1 (en) 2017-05-25
US9558974B2 (en) 2017-01-31
CN103700605B (zh) 2017-11-24
US20140086720A1 (en) 2014-03-27
TW201413850A (zh) 2014-04-01
US9852932B2 (en) 2017-12-26

Similar Documents

Publication Publication Date Title
TWI509722B (zh) 處理半導體晶圓的裝置及方法
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
US10755953B2 (en) Cluster tool techniques with improved efficiency
TWI389236B (zh) Substrate processing device
KR102463977B1 (ko) 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법
TWI408766B (zh) Vacuum processing device
US10468278B2 (en) Substrate transfer method and substrate processing apparatus
TW201448096A (zh) 於負載鎖位置中處理基板之處理負載鎖設備、升降組件、電子裝置處理系統以及方法
TW200805553A (en) Linearly distributed semiconductor workpiece processing tool
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
TWI762518B (zh) 用於工件處理之系統與方法
US20160293459A1 (en) Apparatus for processing sustrate and semiconductor fabrication line including the same
US20080075563A1 (en) Substrate handling system and method
CN113782466A (zh) 高吞吐量、多室衬底处理系统
TWI721937B (zh) 鈷基板之處理系統、裝置、及方法
CN110544660B (zh) 模块化晶圆传输系统和半导体设备
US20210272832A1 (en) Reduced footprint wafer handling platform
JP2004080053A (ja) 半導体製造装置
KR20120117316A (ko) 기판처리장치
CN212257352U (zh) 一种半导体处理系统
TW202310113A (zh) 塗覆顯影設備
CN113644005A (zh) 一种半导体处理系统
JP2012164850A (ja) 基板処理装置及び基板処理装置の表示方法