KR20040084650A - 진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치 - Google Patents

진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치 Download PDF

Info

Publication number
KR20040084650A
KR20040084650A KR1020040017623A KR20040017623A KR20040084650A KR 20040084650 A KR20040084650 A KR 20040084650A KR 1020040017623 A KR1020040017623 A KR 1020040017623A KR 20040017623 A KR20040017623 A KR 20040017623A KR 20040084650 A KR20040084650 A KR 20040084650A
Authority
KR
South Korea
Prior art keywords
vacuum
arm
processed
load lock
vacuum container
Prior art date
Application number
KR1020040017623A
Other languages
English (en)
Other versions
KR100925240B1 (ko
Inventor
다다모토 다마이
Original Assignee
다다모토 다마이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다다모토 다마이 filed Critical 다다모토 다마이
Publication of KR20040084650A publication Critical patent/KR20040084650A/ko
Application granted granted Critical
Publication of KR100925240B1 publication Critical patent/KR100925240B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F3/00Biological treatment of water, waste water, or sewage
    • C02F3/02Aerobic processes
    • C02F3/10Packings; Fillings; Grids
    • C02F3/109Characterized by the shape
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F3/00Biological treatment of water, waste water, or sewage
    • C02F3/02Aerobic processes
    • C02F3/08Aerobic processes using moving contact bodies
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F3/00Biological treatment of water, waste water, or sewage
    • C02F3/02Aerobic processes
    • C02F3/10Packings; Fillings; Grids
    • C02F3/103Textile-type packing
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F3/00Biological treatment of water, waste water, or sewage
    • C02F3/02Aerobic processes
    • C02F3/10Packings; Fillings; Grids
    • C02F3/105Characterized by the chemical composition
    • C02F3/106Carbonaceous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C02TREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02FTREATMENT OF WATER, WASTE WATER, SEWAGE, OR SLUDGE
    • C02F3/00Biological treatment of water, waste water, or sewage
    • C02F2003/001Biological treatment of water, waste water, or sewage using granular carriers or supports for the microorganisms
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

진공용기에 제 1 및 제 2 로드록기구가 설치된다. 진공용기의 외측에 외부아암과 제 1 및 제 2 로보트아암이 배치된다. 외부아암은 처리대상물을 유지할 수 있고, 유지된 처리대상물을 제 1 로드록기구와 제 2 로드로기구중 어느 하나에 도입시킬 수 있다. 제 1 로보트아암은 진공용기의 외측의 보관장소와 제 1로드록기구와의 사이에서 처리대상물을 전달할 수 있고, 보관장소와 외부아암과의 사이에서 처리대상물을 전달할 수 있다. 제 2 로보트아암은 보관장소와 제 2 로드록기구와의 사이에서 처리대상물을 전달할 수 있고, 보관장소와 외부아암과의 사이에서 처리대상물을 전달할 수 있다.

Description

진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치{Vacuum processing system being able to carry process object into and out of vacuum chamber}
본 발명은 진공처리장치에 관한 것이고, 특히 진공용기의 내외로 처리대상물을 반출입하기 위한 적어도 2개의 로드록(load-lock)기구를 가진 진공처리장치에 관한 것이다.
이온주입장치를 예로 들어서, 종래의 웨이퍼의 반출입방법에 대해서 설명한다. 일본국 특허공개공보 평3-29258호(동 특허공고공보 평7-54688호)에 2개의 진공예비실(load-lock chamber; 로드록실)이 형성된 진공용기를 가진 이온주입장치가 개시된다. 진공예비실을 경유해서 웨이퍼의 반출입이 행해진다.
웨이퍼의 반출입을 행할 때는, 먼저 로보트아암(robot arm)이 진공예비실로부터 처리된 웨이퍼를 취출하고 웨이퍼 보관장소까지 반송한다. 그 후에, 웨이퍼보관장소에서 미처리된 새로운 웨이퍼를 취출하고, 일단 얼라이너(aligner)에 위치시켜서 웨이퍼의 자세를 조정(노치 또는 오리엔테이션 플랫에 의거한 위치조정)한다. 그 후에 로보트아암이 웨이퍼를 얼라이너에서 진공예비실로 반송한다.
2개의 진공예비실이 사용되기 때문에, 웨이퍼의 반출입의 처리속도가 향상될 수 있다.
종래의 이온주입장치에 있어서는, 실제로 웨이퍼에 이온주입을 행하는 시간에 비해서, 웨이퍼를 진공예비실 내외로 반출입하고 다른 장소로 반송하는데 요하는 시간이 길었다.
본 발명의 목적은 처리대상물을 로드록실 내외로 반출입하고 다른 장소로 반송하는데 요하는 시간을 단축시키고, 진공처리장치의 처리능력을 향상시키는 것이다.
도 1은 실시예에 따른 이온주입장치의 평단면도.
도 2는 실시예에 따른 이온주입장치의 로드록기구의 횡단면도.
도 3은 실시예에 따른 이온주입장치에서 웨이퍼를 반송하는 순서를 설명하기 위한 순서도.
<도면의 주요부분에 대한 부호의 설명>
1 : 제 1 로드록기구 2 : 제 2 로드록기구
3 : 제 1 로보트아암 4 : 제 2 로보트아암
5 : 외부아암 6 : 얼라이너
7, 8 : 내부아암 9 : 스캔아암
10 : 플래튼 11 : 버퍼
12 : 회전축 15 : 제어장치
20 : 스캔모터 21 : 틸트모터
30 : 이온빔 31 : 패러데이 컵
50 : 진공용기 51 : 후프
52 : 웨이퍼 55 : 개구부
61 : 대기측 구획덮개 62 : 지지축
63 : 승강 테이블 64 : 대기측 구획덮개용 에어실린더
65 : 승강 테이블용 에어실린더 71 : 진공측 구획덮개
72 : 진공측 구획덮개용 에어실린더 73 : 지지축
81, 82 : 내부아암용 모터 83 : 외부아암용 모터
84 : 회전축 85 : 흡배기관
86 : 진공펌프 87 : 질소가스펌프
본 발명의 일관점에 따르면, 진공배기 가능한 내부공간을 구획하는 진공용기와; 상기 진공용기 내의 진공상태를 유지한 상태에서 처리대상물을 상기 진공용기 의 내외로 반출입할 수 있는 제 1 로드록기구와; 상기 진공용기 내의 진공상태를 유지한 상태에서 처리대상물을 상기 진공용기의 내외로 반출입할 수 있는 제 2 로드록기구와; 상기 진공용기의 외측에 배치되어 처리대상물을 유지할 수 있고, 유지된 처리대상물을 상기 제 1 로드록기구와 상기 제 2 로드록기구중 어느 하나에 반입할 수 있는 외부아암과; 상기 진공용기의 외측에 배치되고, 상기 진공용기의 외측의 보관장소와 상기 제 1 로드록기구와의 사이에서 처리대상물을 전달할 수 있고, 상기 보관장소와 상기 외부아암의 사이에서 처리대상물을 전달할 수 있는 제 1 로보트아암과; 상기 진공용기의 외측에 배치되고, 상기 보관장소와 상기 제 2 로드록기구와의 사이에서 처리대상물을 전달할 수 있고, 상기 보관장소와 상기 외부아암의 사이에서 처리대상물을 전달할 수 있는 제 2 로보트아암을 가지는 진공처리장치가 제공된다.
처리대상물은 제 1 로보트아암에서 외부아암으로 전달되고, 그 후 외부아암에서 제 1 또는 제 2 로드록기구로 반송될 수 있다. 외부아암이 처리대상물을 반송하고 있는 동안에 제 1 로보트아암은 처리된 대상물을 제 1 로드록기구로부터 반출할 수 있다.
도 1은 본 발명의 일실시예에 따른 이온주입장치의 진공용기의 평면도이다.내부공간이 진공배기할 수 있는 진공용기(50)의 저부에는 제 1 로드록기구(1)와 제 2 로드록기구(2)가 취부된다. 제 1 및 제 2 로드록기구(1, 2)의 상세한 구조에 대해서는 도 2를 참조해서 설명한다. 제 1 로드록기구(1)와 제 2 로드록기구(2)를 경유해서 진공용기(50)의 내외로 웨이퍼가 반출입된다.
진공용기(50) 내에 스캔아암(scan arm; 9)이 배치된다. 스캔아암(9)의 선단에 취부된 플래튼(platen; 10)은 웨이퍼를 유지하고, 이온빔(30)의 진행경로 내에 웨이퍼를 배치한다. 이온빔(30)의 진행경로는 거의 수평이고, 웨이퍼는 이온빔(30)의 진행방향에 대해서 수직으로 또는 경사지게 유지된다. 스캔모터(20)가 스캔아암(9)을 유지하여 어느 각도 범위내에서 동요시킨다. 이것에 의해플래튼(10)에 유지된 웨이퍼는 이온빔(30)의 진행경로를 횡단하면서 왕복이동할 수 있다. 이온빔(30)에 대해서 하류 측에는 이온전류를 측정하기 위한 패러데이 컵(Faraday cup; 31)이 배치된다.
스캔모터(20)를 지지하는 지지축이 진공용기(50)의 벽의 외부까지 도출된다. 이 지지축은 틸트(tilt)모터(21)에 의해 회전된다. 틸트모터(21)를 동작시킴으로써, 플래튼(10)은 경사지게 되어 로드위치(10A)에 배치될 수 있다. 플래튼(10)이 로드위치(10A)에 배치되어 있는 상태에서는 웨이퍼가 거의 수평으로 유지된다.
진공용기(50) 내에는 내부아암(7, 8)이 배치된다. 내부아암(7, 8)은 제 1 및 제 2 로드록기구(1, 2)로부터 등거리의 위치에 배치된 회전축(12)을 중심으로 해서 회전한다. 로드위치(10A)와 회전축(12) 사이의 거리는 제 1 로드록기구(1)와 회전축(12) 사이의 거리와 동등하다.
내부아암(7, 8)은 웨이퍼를 유지해서 제 1 로드록기구(1), 제 2 로드록기구(2) 및 로드위치(10A)에 배치된 플래튼(10) 중의 어느 하나의 위치에서 다른 위치까지 웨이퍼를 반송할 수 있다. 2본의 내부아암(7, 8)은 서로 다른 높이의 위치에 배치되어 있으므로 서로 교차하면서 회전될 수 있다. 따라서 예를 들면, 제 1 로드록기구(1)에 유지되어 있는 웨이퍼는 로드위치(10A)의 플래튼(10)에 유지되어 있는 웨이퍼와 교환될 수 있다. 마찬가지로 제 2 로드록기구(2)에 유지되어 있는 웨이퍼는 로드위치(10A)의 플래튼(10)에 유지되어 있는 웨이퍼와 교환될 수 있다.
진공용기(50)의 외부에는 제 1 로보트아암(3), 제 2 로보트아암(4), 외부아암(5), 얼라이너(6), 버퍼(buffer, 11) 및 후프(hoop, 51)가 배치된다. 얼라이너(6)는 웨이퍼를 유지하고, 오리엔테이션 플랫이나 노치에 의거해서 웨이퍼의 자세를 조절한다(위치맞춤을 행한다). 버퍼(11)는 웨이퍼를 일시적으로 유지한다. 얼라이너(6)와 버퍼(11)는 상하로 중복된 위치에 배치된다. 후프(51)는 복수 매의 웨이퍼(52)를 보관한다. 1개의 후프(51)에 보관되어 있는 웨이퍼는 당초 전부 미처리한 것이고, 1매씩 처리가 진행되어 처리된 웨이퍼로 치환된다. 최종적으로는 전부가 처리된 웨이퍼로 치환된다.
제 1 로보트아암(3)은 4개의 후프(51)중 제 1 로보트아암(3)의 근처에 배치된 2개의 후프(51A), 제 1 로드록기구(1), 얼라이너(6) 및 버퍼(11) 중 어느 1개의 위치에서 다른 임의의 위치까지 웨이퍼를 반송할 수 있다. 외부아암(5)은 얼라이너(6)에 유지된 웨이퍼를 수취하여 제 1 로드록기구(1) 또는 제 2 로드록기구(2)로 반송할 수 있다. 제 2 로보트아암(4)은 4개의 후프(51)중 제 2 로보트아암(3)의 근처에 배치된 2 개의 후프(51B), 제 2 로드록기구(2), 얼라이너(6) 및 버퍼(11) 중 어느 1개의 위치에서 다른 임의의 위치까지 웨이퍼를 반송할 수 있다. 제 1 로보트아암(3) 및 제 2 로보트아암(4)은 버퍼(11)를 개재해서 웨이퍼를 서로 주고받을 수 있다.
제 1 로보트아암(3), 제 2 로보트아암(4), 외부아암(5), 내부아암(7, 8) 등은 제어장치(15)에 의해 제어된다.
도 2는 제 1로드록기구(1) 및 회전축(12)이 취부된 영역에 있어서 진공용기(50) 및 그 내부구조를 표시하는 횡단면도이다. 제 2 로드록기구(2)의 구조는 제 1 로드록기구(1)의 구조와 동일하다.
진공용기(50)의 바닥벽면에는 웨이퍼보다 큰 개구부(55)가 형성된다. 에어실린더(64)가 대기측 구획덮개(게이트밸브)(61)를 승강시킨다. 대기측 구획덮개(61)가 최고의 위치까지 상승하면 진공용기(50)의 외측으로부터 개구부(55)가 폐쇄된다. 도 2는 대기측 구획덮개(61)가 개구부(55)를 폐쇄한 상태를 표시한다. 진공용기(50)와 대기측 구획덮개(61) 사이의 접촉부는 O링(O-ring)에 의해 기밀성이 유지된다.
지지축(62)이 대기측 구획덮개(61)의 중심영역을 관통한다. 지지축(62)이 관통하는 영역은 O링으로 기밀성이 유지된다. 진공용기(50)의 내부 측의 지지축(62)의 선단부에는 웨이퍼 승강 테이블(63)이 취부된다. 지지축(62)의 타단부에는 승강용 에어실린더(65)가 취부된다. 승강용 에어실린더(65)를 동작시킴으로써 웨이퍼 승강 테이블(63)이 승강될 수 있다. 처리대상 웨이퍼(52)가 웨이퍼 승강 테이블(63) 상에 유지된다.
지지축(62)으로부터 상방으로 연장한 선을 따라서 더 높은 위치에 지지축(73)이 배치되어 진공용기(50)의 상부 벽면을 관통한다. 지지축(73)의 관통부분은 O링에 의해 기밀성이 유지된다. 진공용기(50)의 내부 측의 지지축(73)의 바닥 단부에 진공측 구획덮개(71)가 취부된다. 지지축(73)의 타단에 진공측 구획덮개(71)를 승강시키기 위한 에어실린더(72)가 취부된다.
진공측 구획덮개(71)가 하강하여 진공용기(50)의 바닥 표면에 접촉되면, 개구부(55)는 진공측 구획덮개(71)로 폐쇄된다. 도 2에서는 내부아암(7)의 선단이 진공측 구획덮개(71)의 하방으로 배치된 상태를 표시하고 있지만, 내부아암(7)이 진공측 구획덮개(71)의 하강을 방해하지 않는 위치까지 선회한 후에 진공측 구획덮개(71)가 하강하게 된다. 웨이퍼 승강 테이블(63)도 진공측 구획덮개(71)의 하강을 방해하지 않는 위치까지 하강하게 된다. 진공측 구획덮개(71)와 진공용기(50) 사이의 접촉부분에는 O링이 취부되어 있으므로 양자의 접촉부분의 기밀성이 확보된다.
이중축 실링유닛(회전축)(12)이 진공용기의 상부 벽면을 관통한다. 진공용기(50)의 내측의 회전축(12)의 일방의 축의 바닥 단부에 내부아암(7)이 취부되고, 다른 회전축(12)의 타방의 축의 하단부에 다른 1개의 내부아암(8)이 취부된다. 회전축(12)의 이중축중 일방의 축은 모터(81)에 의해 회전 구동되고 타방의 축은 모터(82)에 의해 회전 구동된다.
진공측 구획덮개(71)가 상승하고 있는 상태에서 내부아암(7, 8)을 선회시켜서 내부아암(7, 8)의 선단들이 웨이퍼 승강 테이블(63)과 진공측 구획덮개(71)와의 사이에 삽입될 수 있다. 이 상태에서 내부아암(7) 또는 내부아암(8)은 웨이퍼 승강 테이블(63)과의 사이에서 웨이퍼(52)를 전달할 수 있다.
대기측 구획덮개(61)를 상승시켜서 개구부(55)를 폐쇄하고, 진공측 구획덮개(71)를 하강시켜 개구부(55)를 폐쇄시킬 때 대기측 구획덮개(61)와 진공측 구획덮개(71)의 사이에 기밀한 공간이 형성된다. 이하, 이 기밀한 공간을 로드록실이라고 한다. 진공용기(50)의 바닥 벽면에 취부된 흡배기관(85)이 로드록실의 내부를 관통한다. 흡배기관(85)에 접속된 진공펌프(86)에 의해 로드록실 내의 에어가배기되어 내부공간이 진공상태로 된다. 흡배기관(85)에 접속된 질소가스펌프(87)의 밸브를 개방함으로써 로드록실 내에 질소가스가 도입되어 대기압 상태로 된다.
이와 같이, 로드록실은 진공용기(50) 내의 공간과 독립적으로 진공상태 또는 대기상태로 된다. 진공용기(50) 내를 진공상태로 유지하는 동안 웨이퍼(52)는 로드록실의 내외로 반출입될 수 있다.
진공용기(50)의 하방에 외부아암(5)을 선회 가능하게 지지하는 회전축(84)이 배치된다. 회전축(84)은 회전축(12)을 하방으로 연장한 연장선상에 배치된다. 모터(83)가 회전축(84)을 회전시킨다.
대기측 구획덮개(61) 및 웨이퍼 승강 테이블(63)을 하강시킨 상태에서 외부아암(5)을 선회시켜서 외부아암(5)의 선단이 웨이퍼 승강 테이블(63)의 상방에 배치될 수 있다. 이 상태에서 웨이퍼는 외부아암(5)에서 웨이퍼 승강 테이블(63)로 인도될 수 있다.
다음에, 도 1 내지 도 3을 참조해서 웨이퍼의 반송 및 이온주입의 공정을 설명한다. 도 3에 표시된 굴절선(U1 내지 U5)의 각각은 각각의 웨이퍼가 반송되는 경로를 표시한다.
우선, 웨이퍼(U1)의 반송순서에 대해서 설명한다. 제 1 로보트아암(3)이 후프(51)에서 웨이퍼(U1)를 반출한다. 그 후에 제 1 로보트아암(3)은 얼라이너(6)의 위치까지 선회하고 웨이퍼(U1)를 얼라이너(6) 상에 놓는다. 얼라이너(6)는 웨이퍼(U1)의 노치의 위치를 검출하고, 웨이퍼(U1)의 위치를 조정한다. 위치맞춤을 완료하면, 웨이퍼(U1)는 얼라이너(6)에서 외부아암(5)으로 인도된다.
외부아암(5)이 제 1 로드록기구(1)의 위치까지 선회하고, 웨이퍼(U1)를 제 2 로드록기구(1)의 웨이퍼 승강 테이블(63)(도 2 참조) 상에 놓는다. 제 1 로드록기구(1)의 로드록실은 배기되어 그 내측이 진공상태로 된다. 진공상태로 된 후에는 진공측 구획덮개(71) 및 웨이퍼 승강 테이블(63)이 상승된다.
내부아암(7)이 웨이퍼(U1)를 로드위치(10A)에 대기하고 있는 플래튼(10)까지 반송한다. 플래튼(10)에 이온 주입된 웨이퍼가 유지되고 있는 경우에는 타방의 내부아암(8)이 처리된 웨이퍼를 플래튼(10)에서 제 1 로드록기구(1)의 웨이퍼 승강 테이블(63)까지 반송시킨다. 즉, 제 1 로드록기구(1)와 플래튼(10)과의 사이에서 웨이퍼가 교환된다.
플래튼(10)은 이온주입위치까지 이동되어 웨이퍼(U1)에 이온이 주입된다. 이온주입후 플래튼(10)은 로드위치(10A)까지 이동된다. 이 때까지 웨이퍼(U1)의 다음에 처리되는 웨이퍼(U2)가 후술하는 경로를 경유해서 제 2 로드록기구(2)까지 반송된다. 플래튼(10)으로 유지된 웨이퍼(U1)는 제 2 로드록기구(2)로 유지된 웨이퍼(U2)와 교환된다.
웨이퍼(U1)는 제 2 로드록기구(2)의 제 2 로드록실 내에 도입된다. 로드록실 내에 질소가스가 도입되고 웨이퍼(U1)는 진공용기(50)의 외부로 반출된다. 제 2 로보트아암(4)은 제 2 로드록기구(2)에서 웨이퍼(U1)를 수취해서 버퍼(11)의 위치까지 선회하여 버퍼(11)에 웨이퍼(U1)를 반입시킨다.
제 1 로보트아암(3)은 버퍼(11)에서 웨이퍼(U1)를 수취해서 후프(51)까지 선회하여 웨이퍼(U1)를 후프(51)에 반입시킨다. 상기 언급한 이들 공정에 의해 웨이퍼(U1)의 반송처리가 종료된다.
상기 언급한 공정에 있어서, 제 1 로보트아암(3)은 웨이퍼(U1)를 얼라이너(6)에 반입하고, 그 후에 제 1 로드록기구(1)의 위치까지 선회시킨다. 제 1 로드록기구(1)에는 이미 이온 주입된 웨이퍼(U1)가 유지된다. 제 1 로보트아암(3)은 제 1 로드록기구(1)로부터 처리된 웨이퍼를 수취해서 후프(51)까지 선회하여 처리된 웨이퍼를 후프(51)에 반송시킨다.
제 1 로보트아암(3)이 처리된 웨이퍼를 제 1 로드록기구(1)에서 후프(51)로 반송하고 있는 시간에 외부아암(5)이 미처리의 웨이퍼(U1)를 제 1 로드록기구(1)까지 반송시킨다. 외부아암(5)이 배치되어 있지 않는 경우에는 이 2개의 반송순서를 병행해서 행할 수 없게 된다. 외부아암(5)을 배치함으로써 반송능력을 높일 수 있게 된다.
다음에, 웨이퍼(U2)에 대해서 설명한다. 제 1 로보트아암(3)이 처리된 웨이퍼를 후프(51)로 반입한 후, 후프(51)의 다음 슬롯으로 이동하여 미처리의 웨이퍼(U2)를 후프(51)에서 반출시킨다.
반출된 웨이퍼(U2)는 제 1 로보트아암(3)에 의해 얼라이너(6)에 놓여서 웨이퍼(U2)의 위치가 조정된다. 위치맞춤을 완료한 후, 웨이퍼(U2)는 외부아암(5)에 의해 제 2 로드록기구(2)까지 반송된다. 제 2 로드록기구(2)의 로드록실이 배기되고 웨이퍼(U2)가 진공용기(50) 내로 반입된다.
이 때, 이온 주입되고 플래튼(10)에 유지된 웨이퍼(U1)는 로드위치(10A)에 위치된다. 플래튼(10)에 유지되어 있는 처리된 웨이퍼(U1)는 제 2 로드록기구(2)에유지되어 있는 웨이퍼(U2)와 교환된다. 웨이퍼(U2)는 이온주입위치까지 반송되어 이온이 주입된다.
처리된 웨이퍼(U2)는 로드위치(10A)까지 반송된다. 이 때까지 웨이퍼(U2)의 다음에 처리되는 웨이퍼(U3)가 제 1 로드록기구(1)로 반입된다. 로드위치(10A)에 배치된 웨이퍼(U2)는 제 1 로드록기구(1)에 유지되어 있는 웨이퍼(U3)와 교환된다. 그 후, 웨이퍼(U2)는 제 1 로드록기구(1)를 경유해서 진공용기(50)의 외부로 반출되어 제 1 로보트아암(3)에 의해 후프(51)로 반입된다.
웨이퍼(U2)가 제 1 로보트아암(3) 및 얼라이너(6)를 경유해서 후프(51)에서 외부아암(5)으로 인도되기까지의 기간에 제 2 로보트아암(4)은 처리된 웨이퍼를 제 2 로드록기구(2)에서 반출하여 버퍼(11)로 반입시킨다.
외부아암(5)이 웨이퍼(U2)를 제 2 로드록기구(2)까지 반송하고 있는 기간에, 제 1 로보트아암(3)이 처리된 웨이퍼를 버퍼(11)에서 반출하고 후프(51)까지 선회하여 후프(51)에 반입시킨다. 상기 언급한 바와 같이, 제 1 로보트아암(3)에 의한 반송과 외부아암(5)에 의한 반송이 병행해서 행해지기 때문에 웨이퍼의 반송능력을 높일 수 있다.
웨이퍼(U2)의 다음에 처리되는 웨이퍼(U3)는 웨이퍼(U1)와 마찬가지의 반송경로를 따라서 이동한다. 웨이퍼(U3)의 다음에 처리되는 웨이퍼(U4)는 웨이퍼(U2)와 마찬가지의 반송경로를 따라서 이동한다. 기수 번째에 처리되는 웨이퍼들은 동일한 반송경로를 따라서 이동하고 우수 번째에 처리되는 웨이퍼들도 동일한 반송경로를 따라서 이동하게 된다.
상기 언급한 바와 같이, 제 1 로보트아암(3) 및 제 2 로보트아암(4)에 추가해서 외부아암(5)이 배치되므로 웨이퍼의 반송능력이 향상될 수 있다.
상기 언급한 실시예에서는 이온주입장치를 예로 들어서 설명했지만, 본 실시예는 이온주입장치에 한정되지 않을 뿐만 아니라, 본 실시예의 장치의 구성도 그 외의 진공처리장치에 적용하는 것이 가능하게 된다.
본 발명은 바람직한 실시예에 따라서 설명되어 진다. 본 발명은 상기 실시예에만 제한되지 않는다. 각종 변형, 개량, 조합 등이 가능한 것은 당업자에게 자명한 것이다.
이상에서 살펴본 바와 같이, 본 발명에 의하면 진공용기 내로의 처리대상물의 반송능력을 높이고, 진공처리의 효율향상을 도모할 수 있다.

Claims (8)

  1. 진공배기 가능한 내부공간을 구획하는 진공용기와;
    상기 진공용기 내의 진공상태를 유지한 상태에서 처리대상물을 상기 진공용기 내외로 반출입할 수 있는 제 1 로드록기구와;
    상기 진공용기 내의 진공상태를 유지한 상태에서 처리대상물을 상기 진공용기 내외로 반출입할 수 있는 제 2 로드록기구와;
    상기 진공용기의 외측에 배치되고, 처리대상물을 유지할 수 있고, 유지된 처리대상물을 상기 제 1 로드록기구와 상기 제 2 로드록기구중 어느 하나로 반입시킬 수 있는 외부아암과;
    상기 진공용기의 외측에 배치되고, 상기 진공용기의 외측의 보관장소와 상기 제 1 로드록기구와의 사이 및 상기 보관장소와 상기 외부아암과의 사이에서 처리대상물을 전달할 수 있는 제 1 로보트아암과;
    상기 진공용기의 외측에 배치되고, 상기 보관장소와 상기 제 2 로드록기구와의 사이 및 상기 보관장소와 상기 외부아암과의 사이에서 처리대상물을 전달할 수 있는 제 2 로보트아암을 포함하여 이루어진 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  2. 제 1 항에 있어서,
    상기 진공용기의 외부에 배치되어 처리대상물을 일시적으로 유지하는 버퍼를더 포함하여 이루어지고,
    상기 제 1 로보트아암과 상기 제 2 로보트아암은 상기 버퍼를 개재해서 처리대상물을 서로 전달할 수 있는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  3. 제 1 항에 있어서,
    상기 제 1 로보트아암이 제 1 처리대상물을 상기 보관장소에서 상기 외부아암으로 반송하고, 그 후 상기 제 1 로드록기구에 유지되어 있는 제 2 처리대상물을 상기 보관장소에 반송하고, 상기 제 1 로보트아암이 상기 제 2 처리대상물을 반송하고 있는 기간에 상기 외부아암이 상기 제 1 처리대상물을 상기 제 1 로드록기구로 반송하도록 상기 제 1 로보트아암 및 상기 외부아암을 제어하는 제어장치를 더 포함하여 이루어지는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  4. 제 3 항에 있어서,
    상기 제어장치는 상기 제 2 로보트아암이 상기 제 1 로드록기구에서 제 3 처리대상물을 상기 버퍼로 반송하고 이와 병행해서 상기 제 1 로보트아암이 제 4 처리대상물을 상기 보관장소에서 상기 외부아암으로 반송하고, 그 후 상기 제 1 로보트아암이 상기 제 3 처리대상물을 상기 버퍼에서 상기 보관장소로 반송하고 이와 병행해서 상기 외부아암이 상기 제 4 처리대상물을 상기 제 2 로드록기구로 반송하도록 상기 제 1 로보트아암, 제 2 로보트아암 및 상기 외부아암을 제어하는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  5. 제 1 항에 있어서,
    상기 진공용기 내에 배치되어, 처리대상물을 유지할 수 있고 상기 처리대상물이 처리되는 처리위치에서 로드위치로 및 로드위치에서 처리위치로 처리대상물을 이동시킬 수 있는 유지기구와;
    상기 유지기구가 로드위치에서 처리대상물을 유지하고 있을 때, 상기 로드위치의 처리대상물과 상기 제 1 또는 제 2 로드록기구에 유지된 처리대상물을 교환할 수 있는 내부아암을 더 포함하여 이루어진 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  6. 제 5 항에 있어서,
    상기 내부아암은 서로 독립해서 선회할 수 있는 제 1 및 제 2 아암을 포함하고, 상기 제 1 및 제 2 아암은 선회의 축방향에 대해서 서로 다른 위치에 지지되어 있고, 상기 제 1 아암이 제 1 선회방향으로 선회하여 처리대상물을 상기 로드위치에서 상기 제 1 또는 제 2 로드록기구까지 이동시키고 동시에 상기 제 2 아암이 제 1 선회방향과 반대인 제 2 선회방향으로 선회하고 다른 처리대상물을 상기 제 1 또는 제 2 로드록기구에서 로드위치까지 이동시키는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  7. 제 1 항에 있어서,
    상기 진공용기의 외측에 배치되고, 상기 제 1 로보트아암에서 처리대상물을 수취해서 처리대상물의 자세를 조절하고, 자세가 조절된 처리대상물을 상기 외부아암에 인도하는 얼라이너를 더 포함하여 이루어지고,
    상기 외부아암은 상기 얼라이너를 경유해서 상기 제 1 로보트아암에서 처리대상물을 수취하는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
  8. 진공배기 가능한 내부공간을 구획하는 진공용기와;
    상기 진공용기 내의 진공상태를 유지한 상태에서 처리대상물을 상기 진공용기 내외로 반출입할 수 있는 제 1 로드록기구와;
    상기 진공용기 내에 배치되고 처리대상물을 유지하고 상기 처리대상물이 처리되는 처리위치에서 로드위치로 및 로드위치에서 처리위치로 처리대상물을 이동시킬 수 있는 유지기구와;
    상기 유지기구가 상기 로드위치에 처리대상물을 유지하고 있을 때, 상기 로드위치의 처리대상물과 제 1 또는 제 2 로드록기구에 유지된 다른 처리대상물을 교환할 수 있는 내부아암을 포함하여 이루어지고,
    상기 내부아암은 서로 독립해서 선회할 수 있는 제 1 아암과 제 2 아암을 포함하고, 상기 제 1 및 제 2 아암은 선회의 축방향에 대해서 서로 다른 위치에 지지되어, 상기 제 1 아암이 제 1 선회방향으로 선회하므로 처리대상물을 상기 로드위치에서 상기 제 1 또는 제 2 로드록기구까지 이동시키고 동시에 상기 제 2 아암이 제 1 선회방향과 반대인 제 2 선회방향으로 선회하고 다른 처리대상물을 제 1 또는 제 2 로드록기구에서 로드위치까지 이동시키는 것을 특징으로 하는 진공용기의 내외로 처리대상물을 반출입할 수 있는 진공처리장치.
KR1020040017623A 2003-03-25 2004-03-16 진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치 KR100925240B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00081815 2003-03-25
JP2003081815A JP3674864B2 (ja) 2003-03-25 2003-03-25 真空処理装置

Publications (2)

Publication Number Publication Date
KR20040084650A true KR20040084650A (ko) 2004-10-06
KR100925240B1 KR100925240B1 (ko) 2009-11-05

Family

ID=32984990

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040017623A KR100925240B1 (ko) 2003-03-25 2004-03-16 진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치

Country Status (5)

Country Link
US (1) US7399154B2 (ko)
JP (1) JP3674864B2 (ko)
KR (1) KR100925240B1 (ko)
CN (2) CN101533765A (ko)
TW (2) TWI247205B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100819176B1 (ko) * 2006-12-29 2008-04-04 주식회사 케이씨텍 대면적 기판 공정 챔버 시스템
KR101311885B1 (ko) * 2004-11-30 2013-09-25 가부시키가이샤 에스이엔 웨이퍼 처리장치, 웨이퍼 처리방법, 및 이온주입장치

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006028057B4 (de) * 2005-10-17 2017-07-20 Dynamic Microsystems Semiconductor Equipment Gmbh Vorrichtung zum Lagern von kontaminationsempfindlichen, plattenförmigen Gegenständen, insbesondere zum Lagern von Halbleiterwafern
US7896602B2 (en) * 2006-06-09 2011-03-01 Lutz Rebstock Workpiece stocker with circular configuration
JP4098338B2 (ja) * 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
US20080112787A1 (en) 2006-11-15 2008-05-15 Dynamic Micro Systems Removable compartments for workpiece stocker
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR100850436B1 (ko) 2007-06-20 2008-08-05 주식회사 싸이맥스 반도체 제조설비
US8202473B2 (en) * 2008-05-06 2012-06-19 Kennametal Inc. Framed TEM machine and method of operating same
DE102009037290A1 (de) * 2009-04-24 2010-11-11 Singulus Technologies Ag Transporteinrichtung mit einem auslenkbaren Dichtrahmen
JP4766156B2 (ja) * 2009-06-11 2011-09-07 日新イオン機器株式会社 イオン注入装置
JP5657948B2 (ja) * 2009-09-02 2015-01-21 キヤノンアネルバ株式会社 真空処理装置及び基板移載方法
JP5477133B2 (ja) 2010-04-09 2014-04-23 日新イオン機器株式会社 ウェーハハンドリング方法およびイオン注入装置
US9443749B2 (en) 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
TWI514089B (zh) 2011-04-28 2015-12-21 Mapper Lithography Ip Bv 在微影系統中用於轉移基板的設備
US20120292005A1 (en) * 2011-05-19 2012-11-22 Laird Technologies, Inc. Thermal interface materials and methods for processing the same
CN103128223A (zh) * 2011-11-30 2013-06-05 苏州工业园区高登威科技有限公司 机械手提取工件方法
CN103137410B (zh) * 2011-11-30 2016-09-28 中国科学院微电子研究所 基于arm的嵌入式注入机控制系统
JP5904098B2 (ja) * 2012-11-07 2016-04-13 株式会社ダイフク 物品搬送設備
JP5928304B2 (ja) * 2012-11-07 2016-06-01 株式会社ダイフク 基板搬送設備
JP2014183099A (ja) * 2013-03-18 2014-09-29 Sumitomo Heavy Ind Ltd イオン注入装置及び成膜装置
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
CN110462809B (zh) * 2017-04-06 2023-07-25 东京毅力科创株式会社 基片处理装置和基片输送方法
US10522381B2 (en) * 2017-04-07 2019-12-31 Applied Materials, Inc. Aligner apparatus and methods
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
CN211788912U (zh) * 2020-04-01 2020-10-27 上海临港凯世通半导体有限公司 离子注入机的作业平台

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
CN1149631C (zh) * 1993-12-03 2004-05-12 株式会社半导体能源研究所 制备具有结晶性的半导体膜的设备和方法
JPH09102530A (ja) * 1995-06-07 1997-04-15 Varian Assoc Inc ウェーハの向き検査システム
US5742393A (en) * 1995-06-07 1998-04-21 Varian Associates, Inc. Optical position calibration system
JP3973112B2 (ja) * 1995-06-07 2007-09-12 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウェーハの向き整合システム
JPH0963939A (ja) 1995-08-17 1997-03-07 Nikon Corp 基板搬送装置
JP3204115B2 (ja) * 1996-01-25 2001-09-04 ダイキン工業株式会社 ワーク搬送ロボット
JPH10125764A (ja) * 1996-10-15 1998-05-15 Applied Materials Inc 高生産性ウェハ処理装置と方法
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
GB2349204B (en) * 1999-04-19 2004-03-03 Applied Materials Inc A method of detecting the position of a wafer
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP4327599B2 (ja) * 2001-11-29 2009-09-09 ダイアモンド セミコンダクタ グループ エルエルシー ウエーハ取り扱い装置及び方法
US20030113188A1 (en) * 2001-12-17 2003-06-19 Applied Materials, Inc. Mechanism for providing a continuous supply of wafers and cassettes to semiconductor fabrication tool
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101311885B1 (ko) * 2004-11-30 2013-09-25 가부시키가이샤 에스이엔 웨이퍼 처리장치, 웨이퍼 처리방법, 및 이온주입장치
KR100819176B1 (ko) * 2006-12-29 2008-04-04 주식회사 케이씨텍 대면적 기판 공정 챔버 시스템

Also Published As

Publication number Publication date
US7399154B2 (en) 2008-07-15
CN101533765A (zh) 2009-09-16
TW200428180A (en) 2004-12-16
JP2004289036A (ja) 2004-10-14
CN100530507C (zh) 2009-08-19
US20040191028A1 (en) 2004-09-30
CN1532886A (zh) 2004-09-29
TW200541009A (en) 2005-12-16
KR100925240B1 (ko) 2009-11-05
TWI247205B (en) 2006-01-11
JP3674864B2 (ja) 2005-07-27

Similar Documents

Publication Publication Date Title
KR100925240B1 (ko) 진공용기의 내외로 처리대상물을 반출입할 수 있는진공처리장치
KR100285408B1 (ko) 기판처리장치,기판반송기 및 기판반송장치
US5404894A (en) Conveyor apparatus
EP1195795B1 (en) Vacuum apparatus and method
TWI442447B (zh) 高產量之處理成列晶圓的終端站及一種在離子植入系統內處理多個工件之方法
JP2012028659A (ja) 真空処理装置
KR102244352B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
US6679675B2 (en) Method and apparatus for processing wafers
CN110491798A (zh) 基板处理装置
JP2010205885A (ja) 基板搬送装置及び基板搬送方法
CN113310402A (zh) 定位装置、处理系统和定位方法
JP2002043395A (ja) ウエハ搬送システム及びその搬送方法
JPH0294647A (ja) ウェーハ処理装置
KR19990076901A (ko) 열처리 장치
JP2017199735A (ja) 基板の入れ替えシステム、基板の入れ替え方法及び記憶媒体
JP3380570B2 (ja) 搬送装置
JPH1191943A (ja) 基板搬送システム
JP2005039285A (ja) 真空処理装置
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP2006019639A (ja) 真空処理装置
JP2582578Y2 (ja) 多室式半導体処理装置
CN113169107A (zh) 装载锁定腔室
US20230080991A1 (en) Wafer processing apparatus including efem and method of processing wafer
JP3608065B2 (ja) 縦型熱処理装置およびそのボートと保温筒のメンテナンス方法
JPH0469917A (ja) 多室構造型真空処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee