TWI770878B - 真空處理裝置 - Google Patents

真空處理裝置 Download PDF

Info

Publication number
TWI770878B
TWI770878B TW110109706A TW110109706A TWI770878B TW I770878 B TWI770878 B TW I770878B TW 110109706 A TW110109706 A TW 110109706A TW 110109706 A TW110109706 A TW 110109706A TW I770878 B TWI770878 B TW I770878B
Authority
TW
Taiwan
Prior art keywords
wafer
chamber
vacuum
cooling plate
arm
Prior art date
Application number
TW110109706A
Other languages
English (en)
Other versions
TW202137385A (zh
Inventor
于盛楠
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202137385A publication Critical patent/TW202137385A/zh
Application granted granted Critical
Publication of TWI770878B publication Critical patent/TWI770878B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment

Abstract

為了提供使處理的效率提昇的真空處理裝置,本發明具備:頂推臂,配置於鎖定室內,且在與前述真空搬運機械人之間接收前述晶圓而支撐於樑部上、或傳送所支撐的前述晶圓;及冷卻板,配置於前述鎖定室內的底部,且用來冷卻從已移動至下方的前述頂推臂之樑部傳送,而放置於複數支撐銷前端上的前述晶圓,前述頂推臂,具有:四個頂推銷,在朝水平方向延伸的樑部上放置之前述晶圓的中心周圍之四個部位,以前端支撐該晶圓;以及驅動部,連結於前述根部且將前述樑部朝上下方向移動,前述冷卻板在其中央部,具有收納已移動至下方之前述頂推臂的樑部之凹部,前述支撐銷從藉由收納於前述凹部的前述頂推臂之前述頂推銷,而從放置於前述冷卻板上的前述晶圓之中心,位於外周側。

Description

真空處理裝置
有關於真空處理裝置,該真空處理裝置,具備:將半導體晶圓等的被處理基板在真空容器內部的處理室內進行處理的真空處理單元、以及連結於此真空處理單元且將被處理基板搬運至其內部的搬運容器,且有關於真空處理裝置具備鎖定室,該鎖定室連結於搬運容器且存放被處理基板之內側的空間將壓力在既定的真空度之低壓和與大氣壓大約相等的高壓之間調節。
在如上述般的真空處理裝置中,將處理對象亦即半導體晶圓等的基板狀的試料(以下,稱為晶圓)進行處理的效率,受到構成該真空處理裝置的實質上形成為大氣壓的部分及形成為既定真空度的低壓部分的各個之搬運晶圓的能力、及搬運晶圓的真空處理單元之處理晶圓的能力、甚至受設定搬運晶圓的路徑的演算法等之搬運晶圓的控制所影響。更具體來說,受到晶圓收納於內側的匣盒連接於真空處理裝置的數目、在大氣壓下搬運晶圓的大氣搬運機械人的每單位時間的搬運能力、鎖定室的排氣及大氣開啟所須的時間、低壓下搬運晶圓的真空搬運機械之每單位時間的搬運能力、搬運晶圓且在內部處理的處理單元的數目及配置、在真空處理單元中處理晶圓所須的時間所影響。例如,在構成包含真空處理單元、真空搬運容器、鎖定室的真空處理裝置之複數單元的任一個,於該一個單元之每單位時間的晶圓之搬運和使用電漿的蝕刻及灰化等的處理之片數足夠比其他的單元更小的情況下,真空處理裝置整體的處理效率因前述一個單元的動作的能力大小而受制限,該一個處理單元的每單位時間之處理片數成為真空處理裝置的每單位時間之處理片數。
因此,即使於各單元的動作及處理之效率偏差較大在各單元產生搬運等待時間,仍藉由等待時間不偏差地分散來求取使真空處理裝置整體的生產性提昇。以如此般之真空處理裝置的先前技術而言,已知有日本特開2013-207014號公報所揭示的例子。在此先前技術中揭示的技術為一種真空處理裝置,該真空處理裝置,具備:複數個真空搬運室;複數個真空處理室,連結於這些各真空搬運室;中間的收納室,配置於複數真空搬運室彼此之間且將這些連通來連結;以及鎖定室,連結於複數真空搬運室之中的一個,其中,將在連結於複數真空搬運室其中一個鎖定室和經由該一個真空搬運室來連結的真空處理單元之間的晶圓搬運,以及與複數真空搬運室之中的另一個連結的真空處理室和經由該另一個真空搬運室來連結之中間的收納室之間的晶圓搬運,兩者同時來執行。
在此先前技術中,揭示有藉著與在如此般的鎖定室和一個真空處理室之間搬運晶圓的動作同時進行且在收納室和別的真空處理室之間搬運晶圓,以使減低在真空處理裝置中於晶圓從鎖定室被搬運至目標的真空處理室且實施處理後送回至鎖定室為止之晶圓搬運中的等待時間,藉此使設置真空處理裝置之部位的該裝置的每專有面積的晶圓處理片數增加來提昇生產性的例子。 [先前技術文獻] [專利文獻]
專利文獻1 日本特開2013-207014號公報
[發明所欲解決之問題]
然而,在上述的先前技術中,關於如下述般的點仍有思慮不周的問題。換言之,此先前技術,將在收納室和深度側真空處理室之間進行搬運的動作以及在鎖定室和前側的真空搬運室之間進行搬運的動作同時進行而在每單位時間所處理之晶圓片數成為最高的所謂最佳搬運,雖為了達成上述搬運而進行搬運晶圓之目標的真空處理室以及進行搬運之時間等的搬運控制,但將灰化處理等的處理以高溫的條件實施後的晶圓在高溫直接搬運的情況下,未充分考慮晶圓在鎖定室內限速,且生產性的效率成為最佳之構造,損及真空處理裝置的每設置面積的生產性。
特別是,在真空處理單元的處理室內進行之晶圓表面的膜層之處理,例如在蝕刻處理所使用的氣體中包含鹵素氣體或含氟的氣體之情況下,於晶圓的處理中形成而附著於處理室內部的表面及晶圓表面的附著物或其堆積所形成的膜中,包含這些氣體的粒子,混入局部離解之狀態的物體。因此,將處理結束後的晶圓在具有這些粒子包含的附著物及膜的狀態下,直接從真空處理單元搬出而經過真空搬運容器、鎖定室來搬運至大氣中的情況下,發生附著物及膜吸附大氣等的真空處理裝置外部之環境氣體中的水蒸氣來吸濕之同時生成鹵化氫,因在處理單元內部的處理使應構成為於晶圓的表面形成之半導體裝置的回路之配線的膜構造腐蝕的問題。特別是,在預先形成於晶圓表面之處理對象的膜層為鋁及其合金等容易腐蝕之材料的情況下,如此般的問題顯著發生。
因此,自以往,在將處理後的晶圓曝於大氣前,實施將晶圓加熱至200~400℃同時將反應性較高的粒子供給於晶圓的處理後之膜層的表面而使相互作用發生且去除附著膜的步驟。於如此般將以高溫處理之晶圓搬運至別的室內之情況下,在設置於搬運用機械人之臂的前端部且使晶圓真空吸附於表面的吸附臂接觸於晶圓時,因彼此的溫度差而在晶圓發生變形且無法使晶圓在臂上真空吸附。於是,於晶圓的搬運中晶圓從臂上鬆脫而掉落,或晶圓被設置於真空容器及真空搬運容器且將晶圓通過內側的通路亦即閘開閉的閥夾到而受損等的障礙發生,導致真空處理裝置的可靠性極為降低。另外,尚有在收納晶圓的匣盒發生因熱導致之變形,晶圓難以收納於匣盒內之虞。
因此,經如此般的高溫、或低溫處理的晶圓,在搬出大氣側前的部位,例如收納於鎖定室內的狀態下使溫度降低後從鎖定室搬出且回收至匣盒。但是,因為晶圓的大直徑化之同時晶圓的熱容量增加,故必須將晶圓收納於鎖定室內所滯留的時間延長,產生將已處理的晶圓送回至大氣側的原本匣盒為止的時間變長且真空處理裝置的運轉及處理的效率降低之問題。
本發明的目地,在於提供使處理的效率提昇之真空處理裝置。 [解決問題之技術手段]
上述目地是藉由真空處理裝置來達成,該真空處理裝置,具備:大氣搬運室;至少一個真空搬運室,配置於大氣搬運室背面側,且在內部配置用來搬運晶圓的真空搬運機械人;真空處理室,連結於前述真空搬運室且在內部處理前述晶圓;鎖定室,配置於前述大氣搬運室的背面側且前述大氣搬運室和前述真空搬運室之間,並可將前述晶圓收納於內部;控制部,用於調節搬運動作,該搬運動作係將收納於配置在前述大氣搬運室的前面側之匣盒內的複數片前述晶圓從該匣盒取出,依序藉由前述真空搬運機械人搬運至前述真空處理室並在進行處理後送回前述匣盒;頂推臂,配置於前述鎖定室內且在與前述真空搬運機械人之間接收前述晶圓而支撐於樑部上、或傳送所支撐的前述晶圓;以及冷卻板,配置於前述鎖定室內的底部,且用來冷卻從已移動至下方的前述頂推臂之樑部傳送而放置於複數支撐銷前端上的前述晶圓,前述頂推臂,具有:四個頂推銷,在朝水平方向延伸的樑部上所放置之前述晶圓的中心周圍之四個部位,以前端支撐該晶圓;以及驅動部,連結於前述根部且將前述樑部朝上下方向移動,前述冷卻板在其中央部,具有收納已移動至下方之前述頂推臂的樑部之凹部,前述支撐銷從藉由收納於前述凹部的前述頂推臂之前述頂推銷,而從放置於前述冷卻板上的前述晶圓之中心起位於外周側。 [發明效果]
依據本發明,可以提供使每設置面積的生產性和處理效率提昇的真空處理裝置。
以下,藉由圖式詳細說明本發明之真空處理裝置的實施例。 實施例1
於圖1說明本發明的實施方式所涉及之真空處理裝置100的構造。圖1是示意性表示本發明的實施例所涉及之真空處理裝置的構造概要的上側面圖。
本圖所示的真空處理裝置100,大致區分,由大氣側區塊101和真空側區塊102所構成。大氣側區塊101是執行在大氣壓下搬運被處理物亦即半導體晶圓等的基板狀的試料、收納位置定位等的部分,真空側區塊102是在從大氣壓被減壓的壓力下搬運晶圓等的基板狀的試料,且在預先決定的真空處理室內進行處理的區塊。而且,於真空側區塊102中進行前述搬運及處理的真空側區塊102的部位和大氣側區塊101之間,配置將這些連結且配置在內部具有試料的狀態下使壓力在大氣壓和真空壓力之間升降的部分。
大氣側區塊101包含框體106,該框體106是在內部具備大氣搬運機械人109之大致長方體形狀的容器,其內部的壓力與真空處理裝置100周圍的環境氣體亦即大氣的壓力相同或稍高,且在內側具有搬運處理前及處理後的試料的大氣搬運室,大氣側區塊101具備複數匣盒台107,該匣盒台107安裝於該框體106的前面側,於其上放置著收納有處理用或清洗用的被處理對象的半導體晶圓等的基板狀的試料(以下,稱為晶圓)的匣盒。
真空側區塊102具備第1真空搬運室104、第2真空搬運室110及一個或複數鎖定室105,該鎖定室105配置於真空側區塊102與大氣側區塊101之間,在內部具有往返於大氣側和真空側間的晶圓之狀態下,將壓力在大氣壓和真空壓力之間進行交換。使用圖2、圖3在之後敘述。
第1真空搬運室104、第2真空搬運室110是包含各個平面形狀具有大致矩形狀的真空容器的單元,這些是具有實質上可視為相同程度之構造上的差異之兩個單元。真空搬運中間室111是內部可減壓至與其他的真空搬運室或真空處理室同等的真空度的真空容器,且將真空搬運室彼此連結,以連通內部的室。於與真空搬運室之間,配置連通內部的室且將在內側搬運晶圓的通路予以開啟或遮斷而進行分割的閘閥120,藉由這些閘閥120進行封閉,真空搬運中間室和真空搬運室之間被氣密密封。
另外,於真空搬運中間室111內部的室,配置將複數晶圓在這些晶圓的面和面之間隔著空隙後放置且水平保持的收納部,並且具備在第1真空搬運室104和第2真空搬運室110之間移交晶圓時,暫時收納之中繼室的功能。換言之,藉由其中一個真空搬運室內的真空搬運機械人108搬入且放置於前述收納部的晶圓,藉由另一個真空搬運室內的真空搬運機械人108搬出,而搬運至連結於該真空搬運室的真空處理室103或鎖定室105。
在相當於「第1真空搬運室104和第2真空搬運室110之間的對峙面的其中一面」之彼此的側壁之間,配置可將複數個處理前或處理後的晶圓收納於內部的真空搬運中間室111,而連結兩者。而且在其他的一面連接真空處理室103,該真空處理室103的內部被減壓且晶圓搬運至其內部,使用導入於該內部的空間之處理氣體所形成的電漿並利用該電漿處理晶圓。在本實施例中,揭示真空處理室103構成包含真空容器,且含有用來在此真空容器內部的處理室形成電漿所供給的電場、磁場的產生手段,及包含將真空容器內部被減壓的空間亦即處理室予以排氣的真空泵之排氣手段所構成的單元全體,在內部的處理室實施使用電漿的蝕刻處理、灰化處理、或施加於其他的半導體晶圓之處理。另外,於各真空處理室103,連結有依據實施之處理而供給於真空容器內部的處理室之處理氣體所流動的管路。
雖構成為最多可連結兩個真空處理室103於第1真空搬運室104,但在本實施例中連結兩個真空處理室103。另一方面,雖構成為最多可連結三個真空處理室103於第2真空搬運室110,但在本實施例中連結最多兩個真空處理室103。第1真空搬運室104及第2真空搬運室110,其內部為搬運室,於第1真空搬運室104之內部空間的中央部分,配置有在真空下於鎖定室105和真空處理室103或真空搬運中間室111的任一個之間搬運晶圓的第1真空搬運機械人108。第2真空搬運室110也與前述同樣地於內部的中央部分配置真空搬運機械人108,在真空處理室103、真空搬運中間室111任一個之間進行晶圓搬運。
前述真空搬運機械人108,在其臂上放置晶圓,並於第1真空搬運室104中在配置於真空處理室103的晶圓台上和鎖定室105或真空搬運中間室111的任一個之間進行晶圓的搬入、搬出。在這些真空處理室103、鎖定室105、真空搬運中間室111、第1真空搬運室104及第2真空搬運室110的搬運室之間,設置藉由分別可氣密封閉或開啟的閘閥120所連通的通路,此通路藉由閘閥120進行開閉。
在圖1之實施例的真空處理裝置中,對於晶圓所實施的處理,針對全部真空處理室103以包含處理時間同等條件進行。另外,在鎖定室105之每單位時間的可搬運晶圓之片數,比真空處理室103之每單位時間可處理晶圓的片數更少,且是與設置於各真空搬運室的真空搬運機械人108之每單位時間的晶圓之搬運片數相同或稍少的值。其原因在於,在鎖定室105中將處理後的晶圓搬出至大氣側區塊101時,使實施灰化處理等的加熱處理後之晶圓溫度降低至不妨礙搬運及收納至匣盒之程度為止須要長時間,晶圓在鎖定室105內所滯留的時間相對較長。
真空搬運機械人108之中配置於第1真空搬運室104內的機械人(真空搬運機械人1),是將從大氣側區塊101導入至真空側區塊102的未處理的晶圓,在鎖定室105和實施先於搬運該晶圓所預先設定的處理之目標的各真空處理室103之間進行搬運而往還的機械。另一方面,配置於第2真空搬運室110內的機械人(真空搬運機械人2),是將真空搬運機械人1從第1真空搬運室104搬運至真空搬運中間室111的晶圓,在該真空搬運中間室111和連結於第2真空搬運室110的任一個真空處理室103之間搬運晶圓來往還的機械。
在本實施例中,在任一個真空處理室103內對於晶圓的處理結束後已處理的晶圓從該真空處理室103搬運至鎖定室105,但如前述般在鎖定室105將晶圓往大氣區塊清出,換言之在收納晶圓的狀態下鎖定室105內部從減壓的狀態昇壓至與大氣壓相同或視為大氣壓的程度為止,且面向大氣側區塊101的閘閥開啟而取出晶圓為止之鎖定室105內的晶圓所滯留之時間,足夠比晶圓搬入至真空處理室103內且處理後取出為止所滯留的時間更長。因此,在本實施例中,發生必須將全部已處理晶圓送回鎖定室105的真空搬運機械人1,在將已處理晶圓保持於自身的臂之狀態下直至鎖定室105的真空側區塊102側的閘閥開啟而成為可以搬入為止的等待時間。
使用圖2、3、4,說明圖1所示的設置於真空處理裝置100之鎖定室105的構造。圖2是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室之構造的縱剖面圖。圖3是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室之構造的橫剖面圖。 在圖3中,作為從上方觀看包含局部的橫剖面之鎖定室105的上側面圖表示。圖4是示意性表示圖2所示之鎖定室的構造概要的立體圖。
本實施例的鎖定室105,氣密區隔的兩個室上下重疊且具備配置於內部的真空容器,於分別為上下室的鎖定室105-1、105-2,各自設置有吹掃用管線203、排氣管線204。並且,鎖定室105-1、105-2各自,分別在與大氣側區塊101的框體106及真空側區塊102的第1真空搬運室104之間具有閘閥120。
這些閘閥120,依據來自於控制部125的指令訊號,藉由未圖示的閥驅動機驅動來朝上下方向移動,將配置於鎖定室105的真空容器的側壁且連通各個鎖定室105-1、105-2內部的收納室和框體106內部之成為大致大氣壓的空間及既定的真空度之第1真空搬運室104之間來搬運晶圓W的通路亦即閘的開口的周圍的側壁面和O型環等的密封構件夾住進行抵接,將鎖定室105-1、105-2的內外進行氣密封閉或開啟。將鎖定室105-1之閘的連通進行開閉之閘閥120用的閥驅動機,雖未圖示,但在閘閥120的上方與此連結來配置,鎖定室105-2的閘用之閥驅動機被配置成連結於閘閥120的下方。
在配置於鎖定室105-1、105-2的內部且收納晶圓W之空間的上部,配置有放置晶圓W且將晶圓W予以支撐的載台201。在收納空間的載台201的下方之鎖定室105-1、105-2的下部配置冷卻板210,該冷卻板210構成為收納空間的底面且從上方觀看的平面形狀具有矩形或方形,在上側面配置有於其前端放置晶圓W的複數(在本實施例中為三個以上)的支撐銷213,且為鋁及銀、銅或上述之合金等的金屬製之板狀構件。藉由這些載台201及具有複數支撐銷213的冷卻板210,鎖定室105-1、105-2各別具有在收納空間的內部無論未處理或已處理皆可以將晶圓W複數片(本例中為2片)在上下方向隔著間隙重疊來保持的構成。
並且,將排氣閥202、旋轉式泵等的粗抽用之真空泵205,以於其上方具有之排氣管線204與收納空間連通而連接於各鎖定室105-1、105-2,排氣閥202配置於鎖定室105-1(或者105-2)和真空泵205之間。並且,於內部供給乾燥的氮氣等的稀有氣體而流過的吹掃用管線203,經由配置於其上方的閥206與收納空間連通而連接於各鎖定室105-1、105-2。在處理前的晶圓W從框體106內部的大氣搬運室搬運至鎖定室105-1(或者105-2)的載台201時,有粒子及水分從大氣搬運室進入於鎖定室105-1(或者105-2)附著於晶圓W及鎖定室105-1(或者105-2)的收納室內部的表面而產生異物之虞,因而為抑制此點所以從具有槽等的貯留部的氣源207通過吹掃用管線203來供給乾燥氣體,且收納室內的壓力高於大氣搬運室。
將鎖定室105-1、105-2的任一個內部的收納室之壓力形成為既定程度的真空時,首先,該任一個鎖定室和大氣搬運室之間的閘閥120被氣密封閉,且收納空間被密閉。接下來,排氣閥202開啟而真空泵209和收納空間經由排氣管線204連通,開始收納空間的減壓。當減壓至既定真空度的情形,藉由連通於收納空間且連接於鎖定室105-1、105-2的各壓力計217的任一個所檢測時,排氣閥202被封閉且減壓結束後,任一個鎖定室的收納室和真空搬運室104之間的閘閥120開啟。
當通過藉由閘閥120開啟的閘內,第1真空搬運室104內的真空搬運機械人108的臂前端部進入任一個鎖定室的收納空間內的載台201的下方,且保持於該載台201上的晶圓W被移交於移動至上方的臂前端部的手部上側面時,藉著該臂收縮與晶圓W一起搬出至任一個鎖定室105外部的第1真空搬運室104內部後,進一步往成為目標的任一個真空處理室103搬運。
另一方面,實施電漿處理或灰化處理後的高溫晶圓W再次搬回至鎖定室105-1、或者105-2的任一個。換言之,對於晶圓W實施處理的任一個真空處理室103的處理室和該真空處理室103所連結的第1真空搬運室或第2真空搬運室110之間的任一個閘閥120開啟且藉由真空搬運機械人108從處理室搬出的晶圓W,在鎖定室105-1、105-2之中內部的冷卻板210未放置晶圓W且在內部可收納已處理的晶圓W的任一個收納空間,藉由第1真空搬運室104內的真空搬運機械人108的動作來放置於臂上,搬入載台201和冷卻板210的支撐銷213之間。臂下降至下方從任一個鎖定室內退出且晶圓W被移交於冷卻板210的支撐銷213。
此後,與第1真空搬運室104之間的閘閥120被封閉來密封收納空間,從吹掃用管線203供給乾燥氣體且收納空間的內部壓力增加至比大氣壓稍高之既定值為止。當壓力上昇至該既定值為止的情形藉由壓力計217檢測時,藉由吹掃用管線203上的閥來封閉吹掃用管線203而結束昇壓的步驟。此後,大氣搬運室側的閘閥120開啟,已處理的晶圓W放置於進入任一個鎖定室的搬運用的機械人亦即大氣搬運機械人119的臂上來搬出,晶圓W被搬運回放置於匣盒台107上之原本匣盒的初始位置。
在本實施例中,為了抑制收納於鎖定室105-1或105-2的任一個之已處理晶圓W的溫度在取出於大氣側區塊101後送回原本匣盒之初始位置時發生問題的情形,所以將收納的晶圓W在該收納空間內冷卻而使溫度減低至既定的值為止。為了減低此冷卻的步驟所須的時間且進一步提高晶圓W處理的吞吐量,所以鋁、銀、銅、或這些合金等具有較高的熱傳導率之樑部的頂推臂211,設置於鎖定室105-1、105-2的各個內部。複數送風機214配置於鎖定室105的具有長方體形狀之容器的底面下方,藉由從這些送風機214噴附真空處理裝置100周圍的環境氣體,構成為冷卻鎖定室105的容器,甚至是可與容器進行熱傳遞(熱的連接)且配置於內部的冷卻板210以及頂推臂211與保持於這些上方之晶圓W的溫度。並且,使用白金的溫度感測器215配置於冷卻板210的內部,表示所檢測之溫度的溫度感測器215之訊號輸出被發送至控制器125,根據訊號來檢出被保持於冷卻板210或頂推臂211或這些上方之晶圓W的溫度。
藉由真空搬運機械人108搬入至鎖定室105-1、105-2的任一個(以下,單稱鎖定室105)內側之收納空間的晶圓W,在放置於冷卻板210上的支撐銷213上前,首先移交至頂推臂211,之後從頂推臂211移交於冷卻板210上的支撐銷213。
以下,針對頂推臂211及冷卻板210的構造,除了圖2之外還使用圖3、4來說明。
使用圖3,說明配置於本實施例的鎖定室105內部且用來冷卻晶圓W的頂推臂211及冷卻板210之構造。圖3是說明圖2所示之本實施例的鎖定室之構造概要的橫剖面圖。在此圖中,鎖定室105的圖上右側的端部與第1真空搬運室104的側壁隔著未圖示的閘閥120來連結,雖在圖上左側的端部的左側未圖示,但框體106配置成隔著閘閥120來連結。
在本實施例中,為了減少使晶圓W降低至既定溫度的時間,由鋁、銀、銅、或這些合金等具有較高的熱傳導率所構成的頂推臂211,配置於鎖定室105-1、105-2內部。頂推臂211,具有於其上放置晶圓W且將其支撐之三個以上的複數(在本例中為四根)頂推銷212配置於上側面的樑部。並且,該樑部的其中一個端部,與包含朝上下方向伸縮且下端部朝上下方向移動之致動器的頂推驅動部216連接,頂推驅動部216配置於鎖定室105的前述一個端部上方或下方(圖3中未圖示)。
本例的頂推臂211的樑部配置成朝水平方向延伸,其水平方向的軸,伸縮於配置頂推臂211之鎖定室105-1或105-2內的收納室內且與真空搬運機械人108及大氣搬運機械人109的該臂的前端部進入或退出鎖定室105-1(或者105-2)的方向交叉(在本例中樑部的水平方向之軸方向和臂的伸縮方向成為垂直)。藉由頂推驅動部216在收納室的內部朝上下方向移動的頂推臂211,在晶圓W放置於樑部的上方之狀態下,於真空搬運機械人108及大氣搬運機械人109的臂進入及退出時被保持於這些不與樑部及晶圓W接觸或衝突的位置且抑制妨礙移動的情形。
如圖3所示,為了於頂推臂211的樑部上側面,晶圓W即使是高溫亦仍可以保持,於朝水平方向延伸的樑部之前端部及根部的各個上側面的部位,從上方觀看相對於該樑部的軸於水平方向的兩側配置複數對的頂推銷212。頂推銷212的前端和樑部的上側面之間的距離,形成為比真空搬運機械人108及大氣搬運機械人109的臂之前端部的上下方向之厚度更大。藉由此構造,在晶圓W放置於頂推銷212前端上保持的狀態下,真空搬運機械人108及大氣搬運機械人109的臂之前端部可進入或退出的間隙,可形成在晶圓W的背面和樑部上側面之間。
在圖3上,頂推臂211上方的端部亦即根部與頂推驅動部216連結。頂推驅動部216安裝於構成鎖定室105之具有長方體形狀的真空容器的端部(圖3的上端部)且其位置被固定,頂推臂211的樑部連結於朝上下方向伸縮或移動的部分之端部,在鎖定室105內部的空間內朝上下方向移動。頂推驅動部216依據來自於控制部125的指令訊號,在真空搬運機械人108或大氣搬運機械人109之臂的前端部之間移交晶圓W時,為收納空間內的載台201和冷卻板210之間的高度位置,進入或退出於收納空間之臂前端部的高度保持於頂推銷212上端和樑部上側面之間且不接觸或衝突於這些的位置。藉由上述,臂前端部構成為可移動於頂推銷212上的晶圓W和樑部上側面之間。
此外,觀察窗221安裝於與鎖定室105的容器之安裝頂推驅動部216的端部相反側之端部的側壁面,通過以石英或壓克力等具有透光性的材料所構成之窗構件,可從外部觀看鎖定室105內部的收納空間。
在本實施例中,在保持晶圓W的臂前端部搬運至位於冷卻板210上方的頂推臂211之四根的頂推銷212上方的狀態下停止,進一步晶圓W受這些頂推銷212前端支撐且往下方移動至未與臂前端部和頂推臂211的頂推銷212及樑部上側面接觸的位置而晶圓W移交於頂推銷212及頂推臂211後,臂收縮而其前端部從頂推臂211和晶圓W之間離開且可以從收納空間退出。或者,在將晶圓W保持於頂推銷212上的頂推臂211位於冷卻板210上方的狀態下,真空搬運機械人108或大氣搬運機械人109之臂的前端部在收納空間內的晶圓W和頂推臂211的樑部上側面之間不與這些接觸或衝突地進入並停止後,在使臂前端部移動至上方,晶圓W的背面與該前端部接觸而被進一步擡高且移動至從頂推銷212前端拉開距離的位置來接收晶圓W並予以保持的狀態下使臂收縮而可從收納空間退出。
並且,分別於本實施例的鎖定室105-1、105-2設置冷卻板210,該冷卻板210是構成收納空間的底部之具有較高的熱傳導率之金屬製的板狀構件,且凹部218配置於冷卻板210的中央部。凹部218是為了供藉由頂推驅動部216的驅動而移動至下方的頂推臂211的樑部插入來收納,稍微比頂推臂211的平面形狀虛擬地從上方投影於下方的冷卻板210上側面的區域外側寬之區域,以足夠大於頂推臂211的樑部之上下方向的最大厚度之深度所凹陷形成的部位。
於冷卻板210的凹部218周圍之上側面配置複數(在本例中為至少八根)的支撐銷213,在頂推臂211藉由頂推驅動部216的動作來收納於凹部218且下降至最下方位置的狀態下,頂推銷212的上端的高度低於支撐銷213。因此,保持於頂推臂211的頂推銷212上之晶圓W的背面,在頂推臂211往凹部218的底部移動的途中與支撐銷213接觸,並且當頂推臂211下降時從頂推銷212離開而移交至複數支撐銷213前端上且被載置於這些前端上。
支撐銷213的表面,為了將與晶圓W接觸的面積可大幅且有效率地進行熱傳遞,所以實施加工成為表面粗度為6.3μm以下的Ra。並且,支撐銷213具有放置於其前端部的晶圓W之上下面與包圍支撐銷213的周圍之冷卻板210的上側面保持平行之高度等的尺寸。冷卻板210的上側面,由鋁、銀、銅或這些合金等具有較高之熱傳導率的材料所構成,在晶圓W放置於支撐銷213上進行保持的狀態下,藉由晶圓W之外周部的熱經由支撐銷213的熱傳導以及凹部218之兩側和周圍的冷卻板210之間的輻射,晶圓W中央部的熱藉由與收納於凹部218的頂推臂211之間的輻射被有效率地熱傳遞。
在本實施例中,在任一個真空處理室103實施處理且加熱的晶圓W被搬運至任一個鎖定室105內後,放置於密封且供給乾燥的稀有氣體而壓力上昇之該鎖定室105內部的收納室內之支撐銷213上,其溫度被保持至到達既定範圍內的值為止。之後,依據來自於控制部125的指令訊號,藉由受到頂推驅動部216驅動且移動至上方的頂推臂211,晶圓W被保持於頂推銷212上而擡高至冷卻板210上方,大氣側區塊101側的閘閥120開啟且形成為比大氣壓稍高的壓力之鎖定室105的收納室開啟,移交於進入的大氣搬運機械人109的臂而搬出鎖定室105的收納室外。
圖4所示的鎖定室105,下側部位的箭頭所示之側壁與第1真空搬運室104連結,上側部位的箭頭所示之側壁與大氣側區塊101的框體106連結。如圖1所示般,鎖定室105雖前後方向的兩個端部經由閘閥120來與第1真空搬運室104及大氣側區塊101的框體106連結,但在本圖中省略這些閘閥120及以這些的各個上方及下方來與這些連結而將各閘閥120朝上下方向移動的驅動機。
鎖定室是具有長方體或近似視為長方體的程度之形狀的真空容器。此真空容器的內部被上下區分成兩個室,於各個內部設置收納複數片晶圓的收納空間。在這些收納空間各內部,配置使用圖2、3來說明的載台201、冷卻板210、頂推臂211、支撐銷213。
而且,觀察窗221安裝於鎖定室105的圖上左端側的側壁,配合上下的兩個收納空間的各個位置來設置兩個窗構件。並且,在容器的外部具有朝上下方向延伸的軸體且連接於該容器的兩個頂推驅動部216,配置於鎖定室105的容器的圖上右側的端部的上下。頂推驅動部216的上下方向的軸體,沿著以配置於頂推驅動部216的長方體之外殻內部的驅動機往上下移動的軸。頂推臂211的根部連接於軸體的上端或下端部,頂推臂211依據頂推驅動部216的動作所致之軸體的朝上下方向移動而朝上下方向移動。
本實施例的鎖定室105如圖2所示,具有長方體形狀的真空容器內部被上下區分成鎖定室105-1、105-2的兩個室。於各個鎖定室105-1、105-2之內部的收納室,設置頂推臂211和在收納室的底面設置冷卻板210,且各個於上側面具有複數頂推銷212、支撐銷213。
各鎖定室105-1、105-2,將晶圓W通過內側來搬運的閘,設置於框體106側及第1真空搬運室104側的端部之側壁面,於圖4中標示,於第1真空搬運室104側的側壁面,具有在上下方向隔著間隔配置之開口的閘402-a、402-b。這些閘402-a、402-b的開口周圍之鎖定室105-1、105-2的側壁面,在上下方向配置的兩個閘閥120抵接於未圖示的第1真空搬運室104側,將閘402-a、402-b的內外進行氣密密封。
觀察窗配置於上方的鎖定室105-1之容器的上部,使用者可以經由以石英或壓克力等的具有透光性之構件所構成的窗構件來觀看內部。於圖4,僅表示該上部的安裝有觀察窗之貫通孔401。通過該貫通孔401而配置於上方的鎖定室105-1之收納室底面的冷卻板210和收納於其凹部218內的頂推臂211,與配置於這些上側面的頂推銷212、支撐銷213一起表示於圖4中。
在冷卻晶圓W時晶圓W的溫度針對其面內的方向不均勻。晶圓W的溫度的分布,例如成為中心部高溫且外周部低溫的情況下及其相反的情況下,由蝕刻處理或灰化處理的條件決定。當針對如此般晶圓W的面內之方向產生溫度較大的偏差時,如圖5所示般發生晶圓W的中心或外周部的因熱所致之膨脹率的變化,在鎖定室105-1(或者105-2)於晶圓W發生彎曲。
圖5是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室及配置於內部的收納室之晶圓配置的縱剖面圖。
當保持於頂推臂211上的頂推銷212或冷卻板210上的支撐銷213上的晶圓W發生如此般的彎曲時,晶圓W的端部接近於頂推臂211及冷卻板210的表面而造成接觸,或以接觸的部位為支點且將位置朝水平方向移動而從在冷卻板210上方將晶圓W擡高來支撐的頂推臂211掉落,恐有發生晶圓W的位置偏移或破裂及缺損之虞。因此,在本實施例中,冷卻板210上側面上的支撐銷213,從上方觀看針對於頂推臂211上的四個頂推銷212在放置於這些上的晶圓W之中心側配置至少三個以上,並且配置至少三個以上於外周側且位於從上方觀看的晶圓W之投影區域內的部位。
換言之,本實施例的頂推銷212,在頂推臂211的樑部之根部(圖4上右端部)和前端部(該左端部)各配置一對,這些從上方觀看針對頂推臂211的樑部之水平方向的軸線為線對稱的位置,在晶圓W放置於這些上且保持的狀態下,配置於離晶圓W的中心之半徑位置至少每對相等的部位。中心側的支撐銷213,針對頂推臂211的樑部之軸線的方向,位於根部和前端部的頂推銷212之間,離與放置之晶圓W的中心對應之部位的半徑位置配置於比頂推銷212小的部位。並且,配置於外周側的支撐銷213,被配置於比根部的頂推銷212更往根側(圖上右端側),且配置於前端部的頂推銷212更往前端側(圖上左端側)。
此外,如上述所述,支撐銷213的前端,在頂推臂211受到頂推驅動部216驅動而在最下方的位置被收納於凹部218內部的狀態下,具有比頂推銷212的前端更高位置。如此般構成的本實施例,如圖5所示般,具備依據晶圓W的彎曲方式而改變支撐晶圓W之支撐銷213的位置來保持晶圓W,並有效地冷卻的構造。例如,外周端緣比晶圓W的中心部高且從中心部朝外周側而往上方彎曲之上彎曲時中心側的支撐銷213進行支撐,相反地晶圓W的外周端緣低且朝外周側往下方彎曲之下彎曲的情況下,外周側的支撐銷213可以支撐晶圓W。
藉由上述,在晶圓W在冷卻板210和頂推臂211之間移交時,抑制晶圓W與冷卻板210、頂推臂211發生衝突的情形,且減低晶圓W的破裂及缺損甚至掉落及損傷的發生。並且,可以將晶圓W的高溫部和冷卻板210的距離形成為較近距離,有效率地藉由輻射進行傳熱且減低至晶圓W的溫度既定的值為止之時間被縮短。此外,若溫度差縮小則晶圓W的彎曲得以解決,且與冷卻板210的空隙成為均等。
在如此般的實施例中,在控制部125中當檢出搬運處理前的晶圓W至任意的真空處理室103且蝕刻處理或灰化處理結束時,依據來自於控制部125的指令訊號,該真空處理室103所連結的第1真空搬運室104或第2真空搬運室110的任一個和該真空處理室103之間的閘閥120開啟,取出已處理的晶圓W來搬運至鎖定室105。
並且,將連通可收納晶圓W的任一個鎖定室105和第1真空搬運室104之間的閘進行開閉之閘閥120被開啟,真空搬運機械人108的臂前端部上之晶圓W進入鎖定室105內部的收納空間內且搬運至頂推臂211上方。頂推驅動部216被驅動且頂推臂211移動至上方,晶圓W從真空搬運機械人108的臂被擡高而與頂推臂211的樑部之上側面隔著間隙放置於頂推臂211的頂推銷212上後,真空搬運機械人108的臂從鎖定室105退出至第1真空搬運室104內部而閘閥120再度將鎖定室105氣密封閉且將收納空間密閉。
當閘閥120關閉時,頂推驅動部216依據來自於控制部125的指令訊號使頂推臂211移動至下方,使頂推臂211下降至最下方的位置且收容於冷卻板210的凹部218內部。晶圓W從降低高度方向的位置之頂推銷212來移交於支撐銷213而在與冷卻板210之間隔著間隙被支撐。此時,冷卻板210,藉著環境氣體藉由送風機214來噴附於鎖定室105的金屬製之真空容器,而與鎖定室105的真空容器被一併冷卻。
並且,於收納空間內通過吹掃用管線203來導入乾燥的氮氣等之稀有氣體且內部的壓力增大至大氣壓或比大氣壓稍高的壓力。藉著收納空間的壓力增大,促進晶圓W和冷卻板210或頂推臂211之間的熱傳遞,晶圓W溫度降低的比率增大。冷卻板210的溫度或晶圓W的溫度,以既定的時間間隔使用來自於溫度感測器215的輸出而在控制部125被檢出。
該溫度到達既定值的情形在控制部125被檢出後,依據來自於控制部125的指令訊號,頂推驅動部216受到驅動而頂推臂211從收納於凹部218內的位置移動至上方,且上昇至可以交接於進入收納空間內之大氣搬運機械人109的臂之高度為止。在此狀態下,當框體106和收納空間之間的閘閥120開啟時鎖定室105之收納空間的內部和框體106的內部被連通,大氣搬運機械人109的臂通過閘來進入於收納空間內的晶圓W和頂推臂211之間的間隙且將晶圓W擡高至上方來接收後,藉著臂收縮以使晶圓W藉由大氣搬運機械人109搬出框體106內部,閘閥120再度密封且將鎖定室105內部密閉的同時,將晶圓W從鎖定室105內部送回原本匣盒內的初始位置。
藉由控制部125,冷卻晶圓W的時間依據使用存放於鎖定室105時的溫度感測器215檢出之晶圓W的溫度來調節,以使在鎖定室105之搬運的等待時間成為最短。
並且,在本實施例中,在頂推臂211位於往大氣搬運機械人109進行交接的位置之狀態下,在控制部125中溫度感測器215檢出與冷卻板210連結之鎖定室105-1(或者105-2)的外側壁的溫度,並且根據與環境氣體的溫度之差來判定在鎖定室105內的晶圓W之冷卻是否為真空處理裝置100的晶圓W處理之瓶頸。依據該判定的結果,調節送風機214的轉數。控制部125執行使送風機214的動作停止,且也可單獨運轉的控制,也可以調節成晶圓W冷卻時的溫度梯度成為最佳。
此外,本發明並非限定於上述實施例,而是包含各式各樣變形例。例如,上述實施例是為了易於瞭解地說明本發明而進行詳細說明,並非限定必須具備所說明之全部構造。另外,可針對各構造的一部分,進行其他構造的追加、刪除、置換。
101:大氣側區塊 102:真空側區塊 103:真空處理室 104:第1真空搬運室 105:鎖定室 106:框體 107:匣盒台 108:真空搬運機械人 109:大氣搬運機械人 110:第2真空搬運室 111:真空搬運中間室 120:閘閥 201:載台 202:排氣閥 203:吹掃用管線 204:排氣管線 205:真空泵 210:冷卻板 211:頂推臂 212:頂推銷 213:支撐銷 214:送風機 215:溫度感測器 216:頂推驅動部
[圖1]是示意性表示本發明的實施例所涉及之真空處理裝置的構造概要之上側面圖。 [圖2]是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室之構造的縱剖面圖。 [圖3]是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室之構造的橫剖面圖。 [圖4]是示意性表示圖2所示之鎖定室的構造概要的立體圖。用來說明圖2所示之實施例的在鎖定室內之晶圓變形態樣的示意圖。 [圖5]是示意性表示圖1所示的實施例所涉及之真空處理裝置的鎖定室及配置於內部的收納室之晶圓配置的縱剖面圖。
105-1,105-2:鎖定室
120:閘閥
201:載台
202:排氣閥
203:吹掃用管線
204:排氣管線
205:真空泵
206:閥
207:氣源
210:冷卻板
211:頂推臂
213:支撐銷
214:送風機
215:溫度感測器
217:壓力計
218:凹部
W:晶圓

Claims (6)

  1. 一種真空處理裝置,具備:大氣搬運室;至少一個真空搬運室,配置於大氣搬運室背面側,且在內部配置用來搬運晶圓的真空搬運機械人;真空處理室,連結於前述真空搬運室,且在內部處理前述晶圓;鎖定室,配置於前述大氣搬運室的背面側且前述大氣搬運室和前述真空搬運室之間,並可將前述晶圓收納於內部;控制部,用於調節搬運動作,該搬運動作係將收納於配置在前述大氣搬運室的前面側之匣盒內的複數片前述晶圓從該匣盒取出,依序藉由前述真空搬運機械人搬運至前述真空處理室並在進行處理後送回前述匣盒;頂推臂,配置於前述鎖定室內且在與前述真空搬運機械人之間接收前述晶圓而支撐於樑部上、或傳送所支撐的前述晶圓;以及冷卻板,配置於前述鎖定室內的底部,且用來冷卻從已移動至下方的前述頂推臂之樑部傳送而放置於複數支撐銷前端上的前述晶圓,前述頂推臂,具有:四個頂推銷,在朝水平方向延伸的樑部上所放置之前述晶圓的中心周圍之四個部位,以前端支撐該晶圓;以及驅動部,連結於根部且將前述樑部朝上下方向移動, 前述冷卻板在其中央部,具有收納已移動至下方之前述頂推臂的樑部之凹部,前述支撐銷藉由收納於前述凹部的前述頂推臂之前述頂推銷,而從放置於前述冷卻板上的前述晶圓之中心位起於外周側。
  2. 如請求項1的真空處理裝置,其中,在前述頂推臂的前述樑部之前端部及根部的各個部位,相對於該樑部的軸在水平方向的兩側配置一個頂推銷。
  3. 如請求項1或2的真空處理裝置,其中,前述頂推臂的樑部配置成朝與前述真空搬運機械人進入或退出前述鎖定室的方向交叉的方向延伸,在該樑部保持前述晶圓的狀態下前述真空搬運機械人進入或退出樑部和晶圓之間。
  4. 如請求項1或2的真空處理裝置,其中,與前述大氣搬運室及前述真空搬運室連結的複數前述鎖定室在上下方向堆疊配置。
  5. 如請求項1或2的真空處理裝置,其中,具備送風機,配置於前述鎖定室的外部,且沿該鎖定室的外側壁之表面朝上下方向使空氣流過,前述冷卻板與前述鎖定室的外側壁連接,且藉由流過前述送風機的空氣的流動來冷卻。
  6. 如請求項1或2的真空處理裝置,其中,具備:溫度檢測器,連接於前述冷卻板且檢測該冷卻板的溫度;以及控制部,根據來自於該溫度檢測器的輸出 而依據檢出的前述冷卻板或晶圓的溫度來調節前述頂推臂的動作。
TW110109706A 2020-03-24 2021-03-18 真空處理裝置 TWI770878B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2020/012880 WO2021192001A1 (ja) 2020-03-24 2020-03-24 真空処理装置
WOPCT/JP2020/012880 2020-03-24

Publications (2)

Publication Number Publication Date
TW202137385A TW202137385A (zh) 2021-10-01
TWI770878B true TWI770878B (zh) 2022-07-11

Family

ID=77891600

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110109706A TWI770878B (zh) 2020-03-24 2021-03-18 真空處理裝置

Country Status (6)

Country Link
US (1) US20220115217A1 (zh)
JP (1) JP6990800B1 (zh)
KR (1) KR102515863B1 (zh)
CN (1) CN113728422B (zh)
TW (1) TWI770878B (zh)
WO (1) WO2021192001A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW444242B (en) * 1998-10-30 2001-07-01 Applied Materials Inc Wafer support unit in semiconductor manufacturing apparatus
US20060245852A1 (en) * 2005-03-30 2006-11-02 Tokyo Electron Limited Load lock apparatus, load lock section, substrate processing system and substrate processing method
US20080187413A1 (en) * 2007-02-05 2008-08-07 Tokyo Electron Limited Vacuum processing apparatus and method, and storage medium for executing the method
US20100199911A1 (en) * 2009-02-06 2010-08-12 Tokyo Electron Limited Substrate processing apparatus
TWI645504B (zh) * 2017-07-17 2018-12-21 台灣積體電路製造股份有限公司 晶圓傳遞模組及傳遞晶圓的方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3650057T2 (de) * 1985-10-24 1995-02-16 Texas Instruments Inc System für Vakuumbehandlung.
JPH07216550A (ja) * 1994-02-07 1995-08-15 Kokusai Electric Co Ltd 基板冷却装置
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2006054282A (ja) * 2004-08-11 2006-02-23 Hitachi High-Technologies Corp 真空処理装置およびウェハ温度復帰方法
JP2006093543A (ja) * 2004-09-27 2006-04-06 Tokyo Electron Ltd 熱処理装置
JP4781802B2 (ja) * 2005-12-06 2011-09-28 東京応化工業株式会社 サポートプレートの貼り合わせ手段及び貼り合わせ装置、並びにサポートプレートの貼り合わせ方法
JP4614455B2 (ja) * 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
JP2008192940A (ja) * 2007-02-07 2008-08-21 Yokogawa Electric Corp 発光装置
JP2009182235A (ja) * 2008-01-31 2009-08-13 Tokyo Electron Ltd ロードロック装置および基板冷却方法
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
TWI429873B (zh) * 2010-06-30 2014-03-11 Ulvac Inc 基板處理裝置及基板冷卻方法
JP2012138540A (ja) * 2010-12-28 2012-07-19 Hitachi High-Technologies Corp 真空処理装置
JP5501193B2 (ja) * 2010-10-26 2014-05-21 東京エレクトロン株式会社 基板処理装置
JP5892828B2 (ja) 2012-03-28 2016-03-23 株式会社日立ハイテクノロジーズ 真空処理装置
JP6120621B2 (ja) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
JP2014195008A (ja) * 2013-03-29 2014-10-09 Hitachi High-Technologies Corp 真空処理装置及び真空処理装置の運転方法
JP2016219464A (ja) * 2015-05-14 2016-12-22 株式会社日立ハイテクノロジーズ 真空処理装置および搬送ロボット
JP6719993B2 (ja) * 2016-06-30 2020-07-08 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW444242B (en) * 1998-10-30 2001-07-01 Applied Materials Inc Wafer support unit in semiconductor manufacturing apparatus
US20060245852A1 (en) * 2005-03-30 2006-11-02 Tokyo Electron Limited Load lock apparatus, load lock section, substrate processing system and substrate processing method
US20080187413A1 (en) * 2007-02-05 2008-08-07 Tokyo Electron Limited Vacuum processing apparatus and method, and storage medium for executing the method
US20100199911A1 (en) * 2009-02-06 2010-08-12 Tokyo Electron Limited Substrate processing apparatus
TWI645504B (zh) * 2017-07-17 2018-12-21 台灣積體電路製造股份有限公司 晶圓傳遞模組及傳遞晶圓的方法

Also Published As

Publication number Publication date
US20220115217A1 (en) 2022-04-14
KR102515863B1 (ko) 2023-03-31
KR20210120975A (ko) 2021-10-07
WO2021192001A1 (ja) 2021-09-30
CN113728422B (zh) 2024-01-09
JPWO2021192001A1 (zh) 2021-09-30
JP6990800B1 (ja) 2022-01-14
CN113728422A (zh) 2021-11-30
TW202137385A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
US20120170999A1 (en) Load lock device and processing system
JP5785712B2 (ja) 真空処理装置
JP4916140B2 (ja) 真空処理システム
JP4642619B2 (ja) 基板処理システム及び方法
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
JP6120621B2 (ja) 真空処理装置及びその運転方法
US20150096685A1 (en) Vacuum processing apparatus
TW201342518A (zh) 真空處理裝置及真空處理裝置之運轉方法
JP5923288B2 (ja) 真空処理装置及び真空処理装置の運転方法
JP5030410B2 (ja) 真空処理装置
JP2012009519A (ja) 真空処理装置
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
TW201347067A (zh) 加載互鎖裝置
TWI770878B (zh) 真空處理裝置
JP5892828B2 (ja) 真空処理装置
JP3666636B2 (ja) 基板の処理装置
JP2012114456A (ja) 搬送容器
JP4359109B2 (ja) 基板処理装置および基板処理方法
JP6718755B2 (ja) 真空処理装置およびその運転方法
JP2006093543A (ja) 熱処理装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP7402658B2 (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
JP2012079835A (ja) 真空処理装置
WO2024018986A1 (ja) 基板処理装置および基板処理方法