JP6442463B2 - 環状のバッフル - Google Patents

環状のバッフル Download PDF

Info

Publication number
JP6442463B2
JP6442463B2 JP2016219491A JP2016219491A JP6442463B2 JP 6442463 B2 JP6442463 B2 JP 6442463B2 JP 2016219491 A JP2016219491 A JP 2016219491A JP 2016219491 A JP2016219491 A JP 2016219491A JP 6442463 B2 JP6442463 B2 JP 6442463B2
Authority
JP
Japan
Prior art keywords
wall
flange
ring
diameter
outer diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016219491A
Other languages
English (en)
Other versions
JP2017085111A (ja
Inventor
ダニエル ジェー ホフマン
ダニエル ジェー ホフマン
カロル ベラ
カロル ベラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017085111A publication Critical patent/JP2017085111A/ja
Application granted granted Critical
Publication of JP6442463B2 publication Critical patent/JP6442463B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15DFLUID DYNAMICS, i.e. METHODS OR MEANS FOR INFLUENCING THE FLOW OF GASES OR LIQUIDS
    • F15D1/00Influencing flow of fluids
    • F15D1/0005Baffle plates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

(発明の分野)
本発明の実施形態は、概ね、エッチングチャンバ内でプラズマを閉じ込めるためのバッ
フルアセンブリに関する。
(関連技術の説明)
電子機器の製造において、半導体基板をプラズマにより処理することは、誘電体のエッ
チング、金属のエッチング、化学蒸着(CVD)及び他のプロセスにおいて用いられてい
る。半導体の基板の処理において、特徴部分の大きさ及びラインの幅がますます小さくな
る傾向の下、より正確に半導体基板上に材料をマスクし、エッチングし、若しくは、蒸着
する能力が重要となってきている。
エッチングは、支持部材により支持される基板にかけて処理領域に供給される作用ガス
に高周波(RF)パワーを印加することにより成し遂げられる。その結果、生成される電
界により、プラズマになる作用ガスを活性化する反応ゾーンが、処理領域内に形成される
。支持部材は、その上に支持される基板に向けてプラズマ内のイオンが引き付けられるよ
うにバイアスされる。イオンは、基板に隣接するプラズマの境界層の方向に移動し、境界
層を離れると加速する。この加速されたイオンは、基板の表面から物質を取り除く、若し
くは、エッチングするように求められるエネルギーをもたらす。加速されたイオンはプロ
セスチャンバー内の他の部品をもエッチングすることができるので、基板上の処理領域内
にプラズマを閉じ込めておくことは有益なことである。
閉じ込められていないプラズマはチャンバーの壁上にエッチングの副生成物(典型的に
は、ポリマー)をもたらし、チャンバーの壁を、さらにエッチングし得る。チャンバーの
壁上のエッチングの副生成物の堆積はプロセスにドリフトせしめる。チャンバーの壁から
のエッチングされた物質は再蒸着により基板を汚染し、及び/又は、チャンバーに対しパ
ーティクルを生成せしめうる。更に、閉じ込められていないプラズマは、下流方向での領
域でのエッチングの副生成物の堆積を引き起こし得る。堆積されたエッチングの副生成物
は剥がれ落ちてパーティクルとなるかもしれない。
従って、プラズマチャンバーの内側の処理領域内にプラズマを閉じ込めるための改善さ
れたバッフルアセンブリのための技術が必要となる。
エッチング装置のためのバッフルアセンブリが開示される。このバッフルアセンブリは
リングと、フランジ部分と下側フレーム部分との間に延びる湾曲した壁を有する下側のバ
ッフル部分とを含む。加熱アセンブリは、バッフルの温度を制御するために、下側のフレ
ーム部分の中に存在するかもしれない。このバッフルアセンブリはチャンバーの処理空間
内にプラズマを閉じ込めるのに役立つ。リングはシリコンカーバイドを含み、下側のバッ
フル部分はアルミニウムを含むかもしれない。
一実施形態において、バッフルアセンブリが開示される。バッフルアセンブリは、リン
グと、このリングに結合されたベース部分とを含むかもしれない。このベース部分は第1
の直径を有するフランジと、この第1の直径より小さい第2の直径を有する下側のフレー
ム部分と、フランジと下側フレーム部分との間に形成される第1の壁とを含む。第1の壁
は下側フレーム部分からフランジへと湾曲形状を呈している。
別の実施形態において、エッチングチャンバーのバッフルアセンブリに用いられるリン
グが開示される。このリングは第1の直径まで延びるトップウォールと、この第1の直径
より大きい第2の直径を有する外側のウォールと、前記トップウォールと前記外側のウォ
ールとの間に形成される第2のウォールとを含む。第2のウォールは、第1の直径のとこ
ろのトップウォールから、第2の直径のところの外側ウォールへと湾曲する。
他の実施形態において、バッフルのベース部分が開示される。このベース部分は、第1
の直径を有するフランジと、この第1の直径より小さい第2の直径を有する下側のフレー
ム部分と、フランジと下側のフレーム部分との間に形成される第1のウォールを含む。こ
の第1のウォールは下側のフレーム部分からフランジへとカーブして形成されている。
別の実施形態において、バッフルアセンブリが開示される。このバッフルアセンブリは
、リングと、このリングに結合されるベース部分とを含むかもしれない。このベース部分
は第1の直径を有するフランジと、第1の直径より小さい第2の直径を有する下側フレー
ム部分と、フランジを支持するための支持部分と、加熱アセンブリとを含む。
別の実施形態において、バッフルのベース部分が開示される。このベース部分は第1の
直径を有するフランジと、この第1の直径より小さい第2の直径を有する下側のフレーム
部分と、フランジを支持するための支持部分と、加熱アセンブリとを含むかもしれない。
本発明の上記引用された特徴が詳細に理解されるように、上述に要約されるような、よ
り特定的な本発明の説明が実施形態を参照してなされ、それらのいくつかは添付図面に説
明されている。しかしながら、添付図面は本発明の典型的な実施形態のみを説明するもの
であり、従って、この発明の範囲を制限するものではなく、本発明は他の同等に有効な実
施形態も含みうる。
本発明の一実施形態のプラズマプロセスチャンバの概略図である。 本発明の一実施形態のバッフルアセンブリの断面図である。 図2AのAの部分の断面図である。 図2BのBの部分の断面図である。 本発明の一実施形態のリングの平面図である。 図3Aのリングの断面図である。 本発明の一実施形態の下側のバッフル部分の概略斜視図である。 図4AのCの部分の断面図である。 図4Cの断面図である。 本発明の他の実施形態のバッフルアセンブリを示す図である。
理解を容易にするために、可能な限り、図に共通な同じ要素を指定するために同じ参照
番号が用いられている。一実施形態に開示された要素は特定して引用されることなく、他
の実施形態にも効果的に用いられうる。
詳細な説明
本発明はプラズマ処理装置内の処理領域内にプラズマを閉じ込めるためのバッフルアセ
ンブリを含む。本発明はカリフォルニア州サンタクララ市のアプライド・マテリアルズ・
社から市販されているイネーブラー(ENABLER、商標名)エッチングシステムに関
連して、以下に説明されるが、本発明は他の製造者により販売されているチャンバーを含
む、物理的蒸着(PVD)チャンバー、CVDチャンバーなどを含む他のプロセスチャン
バーに用いられ得ることは理解されるべきである。
図1はカリフォルニア州サンタクララ市のアプライド・マテリアルズ社により製造され
るイネーブラー(商標名)エッチングなどのプラズマリアクターの例を図示しており、こ
のリアクターは半導体基板を支持するチャンバー100の底のところに基板支持体(若し
くは、ペデスタル)105を備えたリアクターチャンバー100を含み、さらに、ウォー
ルを保護するためのライナーを含むかもしれない。チャンバー100の上部は、誘電体(
石英)シール132により絶縁され、接地されたチャンバー本体127上の基板の上方で
、所定間隔の距離だけ離れて支持される円盤状の上部アルミニウム電極125により、塞
がれている。電源150は電極125に超高周波の電力(VHF)を印加する。VHFは
、典型的には、約30MHzと約300MHzとの間であり、約10kHzから約10G
Hzの間の範囲のRFバンドのうちの1つである。一実施形態において、VHF電源の周
波数は、300ミリの直径の基板に対しては、162MHzである。電源150からのV
HF電力は、電源150に対し整合がとられた、同軸ケーブル162により接続され、電
極125に接続された同軸のスタブ135に結合される。スタブ135は特性インピーダ
ンスと、共振周波数とを有し、電極125と同軸ケーブル162若しくはVHF電力源1
50との間のインピーダンス整合をもたらす。チャンバー本体はVHF電源150のVH
Fの折り帰し点(VHFの接地)に接続されている。バイアス電力は、従来のインピーダ
ンス整合回路104を介して基板支持体105に結合されるバイアス電力RF信号発生器
102により基板に印加される。(代表的には13.56MHzの)バイアス発生器10
2の電力レベルは、代表的には、基板の表面近くのイオンエネルギーを制御するために用
いられ、他方、VHF電力はプラズマの密度を制御するために上部電極に印加される。真
空ポンプシステム111はプレナム112を介してチャンバー100を真空にする。
基板支持体105は、下側の絶縁層107を支持する金属ペデスタル層106と、この
下側の絶縁層107に重なる電気的導電メッシュ層108と、この導電メッシュ層108
をカバーする薄い上部の絶縁層110を含む。半導体のワークピース若しくは基板は、こ
の上部の絶縁層110の上部に置かれる。基板支持体105及び基板は基板処理の間、カ
ソードを形成する。もしこの基板が存在しなければ、基板支持体105がプラズマ処理の
間、カソードである。この電気的に導電性のメッシュ層108及び金属のペデスタル層1
06はモリブデン及びアルミニウムなどの材料から形成されるかもしれない。絶縁層10
7及び110は窒化アルミニウム若しくはアルミナなどの材料から形成されるかもしれな
い。導電性のメッシュ層108は基板の表面のところでイオンボンバードメントエネルギ
ーを制御するためのRFバイアス電圧を供給する。また、導電性のメッシュ108は基板
を静電的にチャッキング若しくはデチャッキングするために用いられ、そのような場合、
よく知られた態様によりチャッキング電源に接続されうる。従って、導電性のメッシュ1
08は、必ずしも接地されておらず、選択的に、従来のチャッキング及びデチャッキング
の動作に従い、フローティング電位若しくは固定の直流電位を有し得る。基板支持体10
5は、特に金属製のペデスタル層106は、典型的には(必ず必要でもないが)接地され
ており、上部電極125により放射されるVHF電力のための帰還路の一部を形成する。
基板支持体のインピーダンスの均一性を改善するための誘電性の円筒形のスリーブ11
3はRF導体114を取り囲むよう設計されている。同軸の長さ、および、スリーブ11
3を構成する材料の誘電率は、RF導体114からVHF電力への給電点インピーダンス
を決定する。同軸の長さ及びスリーブ113を構成する材料の誘電率を調整することによ
りより、VHF電源のより均一な容量性結合のために、インピーダンスのより均一な放射
分布が達成されうる。
スタブ135の遠方端部135aのところの終端導体165は、内部及び外部の導体1
40、145を共に終端し、スタブ135は、その遠方端部135aのところで短絡され
る。スタブ135の近傍端部135b(短絡されていない端)のところで、外側導体14
5は環状の導電性筐体若しくは支持体175を介してチャンバー本体に接続され、内部の
導体144は導電性のシリンダー176を介して電極125の中央部分に接続される。誘
電性のリング180は導電性のシリンダー176と電極125との間に保たれ、それらを
隔絶する。
内部の導体140はプロセスガス及び冷媒などのユティリティのための導管をもたらす
。この特徴の主要な利点は、典型的なプラズマリアクターにはないようなものであり、ガ
スライン170は及び冷媒ライン173は相互に大きい電位差を生じない。従って、それ
らは、その目的のために、より安価で、より信頼性のある材料である、金属から形成され
るかもしれない。金属製のガスライン170は、上部電極125の中、若しくは、近傍に
あるガス入り口172にガス供給し、金属性の冷媒ライン173は、上部電極125内の
冷媒の通路、若しくは、ジャケット174に冷媒を供給する。
プラズマの密度は比較的ウォールの近くで低いので、内部のチャンバーウォール128
から所定の距離(若しくはギャップ)を伴った基板の周りに置かれたバッフルアセンブリ
131がプラズマを閉じ込めるかもしれない。バッフルアセンブリ131の端と内部のチ
ャンバーウォール128との間の距離(若しくはギャップ)は、小さいほどよい。もし、
このギャップの距離がチャンバーウォールの近くのプラズマシースの厚さより大きいので
あれば、基板の上の反応領域から引き出され、チャンバーウォールおよび下流方向へと引
き出されるプラズマの量は増加し、それにより、プラズマをより閉じ込めないこととなる
。また、チャンバーの圧力に影響をおよぼすフロー抵抗が許容不能なレベルまで増加する
ので、バッフルアセンブリ131の端と内部のチャンバーウォールとの間の距離(若しく
はギャップ)は、大きいほどよいとも言える。従って、バッフルアセンブリ131は、良
好なプラズマの閉じ込め、及び、低いフロー抵抗をもたらすために、内部のチャンバーウ
ォール128から適宜な距離をもって基板の周りに配置される。
図2Aは本発明の一実施形態のバッフルアセンブリ200の断面図である。バッフルア
センブリ200はリング202及びベース部分204を含む。また、ベース部分204は
約19インチと約20インチとの間の第1の直径Dを有するフランジ206を含む。湾曲
したウォール208はフランジ206から、ベース部分204に結合された加熱アセンブ
リ214へと延びる。この湾曲したウォール208は、リング202と共に、ベース部分
204から延びるフランジ206を支持する。このベース部分204の最も内側に入り込
んだ外側ウォール220は、約14インチと約16インチとの間の直径Gを有する。また
、ノッチ222はベース部分204の底に存在するかもしれない。加熱アセンブリはバッ
フルアセンブリ200の中に存在するかもしれない。この加熱アセンブリはベース部分2
04の内部に溶接された加熱チューブを含むかもしれない。
図2Bは図2AのAの部分の断面である。レッジ210はフランジ206の内側に放射
状に設けられるかもしれない。湾曲したウォール218はフランジ206の外側ウォール
218とフランジ206の底部のウォール216との間に延びるかもしれない。
図2Cは図2BのBの部分の断面図である。リング202は、間に設けられた1つ以上
のスペーサー212を備えたベース204に結合されているかもしれない。1つ又はそれ
以上のO−リング224はリング202とベース部分204との間の結合密封状態を提供
するため、ベース部分204とリング202との間に設けられるかもしれない。O−リン
グ224はベース部分204に形成されたノッチ内に設けられるかもしれない。
図3Aは本発明の一実施形態によるリング300の平面図である。図3Bは図3Aのリ
ング300の断面図である。リング300は約18インチと約19インチとの間の直径E
を有する上部のウォール302を含む。また、このリング300は約19インチと約20
インチとの間の直径Fを有する外側のウォール308を有する。湾曲したウォール304
は外側のウォール308と上部のウォール302との間に延びるかもしれない。リング3
00は約13インチと14インチとの間に直径Iに有する開口306を含む。一実施形態
において、リングの外側のウォール308の直径は、フランジ206の直径に実質的に等
しいかもしれない。
図4A及び図4Bは本発明の一実施形態による下側のバッフル部分の概略斜視図である
。図4Aは底から見たバッフル部分の斜視図である。図4Bは上から見た下側バッフル部
分の斜視図である。図4Aに図示されるように、下側のバッフル部分の底は加熱アセンブ
リーに電力をもたらすための給電レセクタプルを有する。図4Cはこの給電レセプタクル
400を示す図4AのCの部分の切り出し図である。図4Cに図示されるように、レセプ
タクルは電力プラグを受け入れるための3つのスロット402を含む。
図4Dは図4Cの断面図である。図4Dは図4Cに示された給電レセクタプル400の
断面図を図示する。下側のバッフル部分はボトムの部分406に結合された上部部分40
4を含む。締結機構408はボトムの部分406に給電レセクタプルを締結するために用
いられるかもしれない。一実施形態において、締結メカニズム408はネジであるがしか
し、他の締結メカニズム408が用いられてもよいことは理解されるべきである。2つの
電極端子412は下側のバッフル部分内に溶接された加熱チューブ414に電力を供給す
るためにレセプタクル410内に設けられる。
図5は本発明の他の実施形態によるバッフルアセンブリ500を示す。図5に図示され
るように、バッフルアセンブリ500は支持構造508に支持されるフランジ506を有
する下側の部分504に結合されたリング502を含む。一実施形態において、支持構造
508はステップ若しくは角形状を含むかもしれない。支持構造508としてステップ若
しくは角形状が示されているが、上述されたように湾曲したウォールを含むフランジ50
6を支持するために機械的な強度をもたらすために他の形状が用いられるかもしれないこ
とは理解されるべきである。
本発明の実施形態に従って説明されてきたが、本発明の他の更なる実施形態は本発明の
基本範囲を逸脱することなく創作できるものであり、その範囲は添付の特許請求の範囲に
基づいて定められる。

Claims (15)

  1. エッチングチャンバ内でプラズマを閉じ込めるためのバッフルアセンブリであって、
    リングであって、
    上部のウォールと、
    上部のウォールと対向する底部のウォールと、
    上部のウォールから底部のウォールまで延び、内径に対応する内側のウォールと、
    外径とを有するリングと、
    ベース部分であって、
    内径と外径を有するフランジであって、フランジの外径は、リングの外径と揃っており、リングの内径は、フランジの内径と揃っており、リングはフランジ上に配置され、フランジの外径はエッチングチャンバの内側チャンバ壁から適切な距離を有し、プラズマの閉じ込め、及び、低いフロー抵抗を提供するフランジと、
    フランジの外径よりも小さい外径を有する下側のフレーム部分であって、リングと、フランジの末端は、下側のフレーム部分から外側に放射状に延びる下側のフレーム部分と、
    フランジと下側のフレーム部分との間に結合され、下側のフレーム部分からフランジまで湾曲している第1凹状ウォールとを含むベース部分とを含むバッフルアセンブリ。
  2. リングはシリコンを含み、ベース部分はアルミニウムを含む、請求項1記載のバッフルアセンブリ。
  3. ベース部分は、第1凹状ウォールから内側に放射状に配置されたレッジを含む、請求項1記載のバッフルアセンブリ。
  4. リングとベース部分との間に結合された1以上のスペーサーと、
    ベース部分に結合され、ノッチを有する加熱アセンブリを含む、請求項1記載のバッフルアセンブリ。
  5. リングは、
    第3の直径まで延びる上部のウォールと、
    リングの外径に一致する外側のウォールであって、リングの外径は第3の直径よりも大きい外側のウォールと、
    上部のウォールと外側のウォールとの間に結合される第2のウォールであって、第2のウォールは、第3の直径の上部のウォールからリングの外径の外側のウォールまで湾曲し、リングの外径は、フランジの外径に実質的に等しい第2のウォールを含む、請求項1記載のバッフルアセンブリ。
  6. ベース部分は、
    下側のフレーム部分の外径よりも大きいがフランジの外径よりも小さい第3の直径を有するボトムウォールと、
    第3の直径とフランジの外径との間で湾曲している第2のウォールを含む、請求項1記載のバッフルアセンブリ。
  7. リングは、リングとフランジとの間に配置された接着シールによってフランジに取り付けられる、請求項1記載のバッフルアセンブリ。
  8. エッチングチャンバ内でプラズマを閉じ込めるためのバッフルアセンブリであって、
    リングであって、
    上部のウォールと、
    上部のウォールと対向する底部のウォールと、
    上部のウォールから底部のウォールまで延び、内径に対応する内側のウォールと、
    外径とを有するリングと、
    ベース部分であって、
    内径及び外径を有するフランジであって、フランジの外径は、リングの外径と揃っており、リングの内径は、フランジの内径と揃っており、リングはフランジ上に配置され、
    フランジの外径はエッチングチャンバの内側チャンバ壁から適切な距離を有し、プラズマの閉じ込め、及び、低いフロー抵抗を提供するフランジと、
    フランジの外径よりも小さい外径を有する下側のフレーム部分であって、フランジの末端は、下側のフレーム部分から外側に放射状に延びる下側のフレーム部分と、
    フランジを支持するための支持部分とを含むベース部分と、
    加熱アセンブリとを含むバッフルアセンブリ。
  9. リングはシリコンを含み、ベース部分はアルミニウムを含む、請求項8記載のバッフルアセンブリ。
  10. リングとベース部分との間に結合された1以上のスペーサーを含む、請求項8記載のバッフルアセンブリ。
  11. リングは、
    第3の直径まで延びる上部のウォールと、
    リングの外径に一致する外側のウォールであって、リングの外径は第3の直径よりも大きい外側のウォールと、
    上部のウォールと外側のウォールとの間に結合される第2のウォールであって、第2のウォールは、第3の直径のトップウォールからリングの外径の外側のウォールまで湾曲し、リングの外径は、フランジの外径に実質的に等しい第2のウォールを含む、請求項8記載のバッフルアセンブリ。
  12. 支持部分はステップ部分を含む、請求項8記載のバッフルアセンブリ。
  13. 支持部分は第1の湾曲したウォールを含む、請求項8記載のバッフルアセンブリ。
  14. 下側のフレーム部分は、電力を加熱アセンブリに提供するための給電レセプタクルを含む、請求項8記載のバッフルアセンブリ。
  15. ベース部分は、
    第1の湾曲したウォールから内側に放射状に配置されたレッジと、
    下側のフレーム部分の外径よりも大きいがフランジの外径よりも小さい第3の直径を有するボトムウォールと、
    第3の直径とフランジの外径との間で湾曲している第2のウォールを含む、請求項13記載のバッフルアセンブリ。
JP2016219491A 2007-04-27 2016-11-10 環状のバッフル Active JP6442463B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US91458307P 2007-04-27 2007-04-27
US60/914,583 2007-04-27

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010506496A Division JP6097471B2 (ja) 2007-04-27 2008-04-24 環状のバッフル

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018219830A Division JP2019054274A (ja) 2007-04-27 2018-11-25 環状のバッフル

Publications (2)

Publication Number Publication Date
JP2017085111A JP2017085111A (ja) 2017-05-18
JP6442463B2 true JP6442463B2 (ja) 2018-12-19

Family

ID=39926076

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010506496A Active JP6097471B2 (ja) 2007-04-27 2008-04-24 環状のバッフル
JP2016219491A Active JP6442463B2 (ja) 2007-04-27 2016-11-10 環状のバッフル
JP2018219830A Pending JP2019054274A (ja) 2007-04-27 2018-11-25 環状のバッフル

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010506496A Active JP6097471B2 (ja) 2007-04-27 2008-04-24 環状のバッフル

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018219830A Pending JP2019054274A (ja) 2007-04-27 2018-11-25 環状のバッフル

Country Status (7)

Country Link
US (3) US8647438B2 (ja)
JP (3) JP6097471B2 (ja)
KR (1) KR101480738B1 (ja)
CN (1) CN101663421A (ja)
SG (1) SG10201703432XA (ja)
TW (2) TWI443738B (ja)
WO (1) WO2008134446A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
KR101480738B1 (ko) 2007-04-27 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 환형 배플
KR102045942B1 (ko) 2011-05-31 2019-11-18 어플라이드 머티어리얼스, 인코포레이티드 에지, 측면 및 후면 보호를 갖는 건식 식각을 위한 장치 및 방법들
CN103796413B (zh) * 2012-11-01 2017-05-03 中微半导体设备(上海)有限公司 等离子反应器及制作半导体基片的方法
CN102355792B (zh) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
US9095038B2 (en) 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
CN102395243A (zh) * 2011-10-19 2012-03-28 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN103874314B (zh) * 2012-12-17 2016-10-05 中微半导体设备(上海)有限公司 一种电感耦合等离子装置
US10700120B2 (en) 2015-01-23 2020-06-30 Vuereal Inc. Micro device integration into system substrate
WO2016116889A1 (en) * 2015-01-23 2016-07-28 Gholamreza Chaji Selective micro device transfer to receiver substrate
WO2020247375A1 (en) * 2019-06-07 2020-12-10 Applied Materials, Inc. Seamless electrical conduit

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59132623A (ja) 1983-01-20 1984-07-30 Ulvac Corp ドライエツチング用電極
JPS6293939A (ja) 1985-10-21 1987-04-30 Hitachi Ltd 真空装置用加熱試料台
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP3002448B1 (ja) * 1998-07-31 2000-01-24 国際電気株式会社 基板処理装置
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
JP3810248B2 (ja) 2000-03-27 2006-08-16 信越化学工業株式会社 プラズマ処理装置用シリコンリング
JP2002009048A (ja) 2000-06-20 2002-01-11 Matsushita Electric Ind Co Ltd プラズマ処理装置のフォーカスリング
JP2002064085A (ja) 2000-08-18 2002-02-28 Ibiden Co Ltd 半導体製造装置用部品及びその製造方法、半導体製造装置
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
ATE280437T1 (de) 2001-05-31 2004-11-15 Cit Alcatel Abnehmbare schirmvorrichtung für plasmareaktoren
US7972467B2 (en) 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US7618494B2 (en) * 2003-08-18 2009-11-17 Tokyo Electron Limited Substrate holding structure and substrate processing device
JP4627164B2 (ja) * 2003-08-18 2011-02-09 東京エレクトロン株式会社 基板保持構造物および基板処理装置
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
JP4361835B2 (ja) 2004-06-04 2009-11-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御部材及びプラズマ処理方法
KR100610010B1 (ko) * 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US20060090703A1 (en) * 2004-11-01 2006-05-04 Tokyo Electron Limited Substrate processing method, system and program
JP5031186B2 (ja) 2004-11-01 2012-09-19 東京エレクトロン株式会社 基板処理方法、基板処理システム及び基板処理プログラム
US20060172542A1 (en) 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
KR20070012954A (ko) * 2005-07-25 2007-01-30 동부일렉트로닉스 주식회사 에칭 균일도 향상을 위한 포커스 링
JP2007258585A (ja) * 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR101480738B1 (ko) 2007-04-27 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 환형 배플
US7988815B2 (en) 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8360003B2 (en) 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
KR102045942B1 (ko) 2011-05-31 2019-11-18 어플라이드 머티어리얼스, 인코포레이티드 에지, 측면 및 후면 보호를 갖는 건식 식각을 위한 장치 및 방법들

Also Published As

Publication number Publication date
US8647438B2 (en) 2014-02-11
JP2019054274A (ja) 2019-04-04
WO2008134446A1 (en) 2008-11-06
CN101663421A (zh) 2010-03-03
JP2017085111A (ja) 2017-05-18
US10012248B2 (en) 2018-07-03
JP2010525612A (ja) 2010-07-22
TW201440142A (zh) 2014-10-16
TWI443738B (zh) 2014-07-01
US20080314571A1 (en) 2008-12-25
SG10201703432XA (en) 2017-06-29
TW200908137A (en) 2009-02-16
US20160341227A1 (en) 2016-11-24
KR101480738B1 (ko) 2015-01-09
TWI527116B (zh) 2016-03-21
KR20100017240A (ko) 2010-02-16
US20140130926A1 (en) 2014-05-15
JP6097471B2 (ja) 2017-03-15

Similar Documents

Publication Publication Date Title
JP6442463B2 (ja) 環状のバッフル
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
US8084375B2 (en) Hot edge ring with sloped upper surface
TWI435664B (zh) 使用多頻率射頻電力之混合射頻電容性及電感性耦合電漿源及其使用方法
US6320320B1 (en) Method and apparatus for producing uniform process rates
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
JP5238114B2 (ja) 電極アッセンブリ
JP5546216B2 (ja) エッチング速度の均一性を改善する装置及び方法
US6727654B2 (en) Plasma processing apparatus
JPH10172792A (ja) プラズマ処理装置
WO2000000992A9 (en) Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
EP1412963A2 (en) Method and apparatus for producing uniform process rates
JP3814176B2 (ja) プラズマ処理装置
US20190043698A1 (en) Electrostatic shield for substrate support
CN113035679A (zh) 一种等离子体处理装置
KR200426498Y1 (ko) 플라즈마 공정 챔버에서 이용하기 위한 프로세스 키트
IL159935A (en) Method and apparatus for producing uniform process rates

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181126

R150 Certificate of patent or registration of utility model

Ref document number: 6442463

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250