WO2008088668A1 - Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor - Google Patents

Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor Download PDF

Info

Publication number
WO2008088668A1
WO2008088668A1 PCT/US2008/000001 US2008000001W WO2008088668A1 WO 2008088668 A1 WO2008088668 A1 WO 2008088668A1 US 2008000001 W US2008000001 W US 2008000001W WO 2008088668 A1 WO2008088668 A1 WO 2008088668A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma processing
assembly
interior region
cantilever assembly
processing apparatus
Prior art date
Application number
PCT/US2008/000001
Other languages
English (en)
French (fr)
Inventor
Rajinder Dhindsa
Eric H. Lenz
Andy W. Desepte
Lumin Li
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to JP2009546392A priority Critical patent/JP5090468B2/ja
Priority to CN2008800025478A priority patent/CN101584026B/zh
Priority to KR1020097017053A priority patent/KR101492281B1/ko
Publication of WO2008088668A1 publication Critical patent/WO2008088668A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Definitions

  • Integrated circuits are typically formed from a wafer over which are formed patterned microelectronics layers.
  • plasma is often employed to deposit films on the substrate or to etch intended portions of the films.
  • Shing feature sizes and implementation of new materials in next generation microelectronics layers have put new demands on plasma processing equipment.
  • the smaller features, larger substrate size and new processing techniques create additional demands on control of the plasma parameters, such as plasma density and uniformity across the substrate, to achieve desired yields.
  • An exemplary embodiment of a plasma processing apparatus comprises a chamber comprising a wall surrounding an interior region and having an opening; a cantilever assembly comprising: an arm unit extending through the opening of the wall and having an outer portion located outside the interior region; and a substrate support on the arm unit and disposed within the interior region; an actuation mechanism coupled to the outer portion of the arm unit and operative to move the cantilever assembly relative to the wall; and at least one vacuum isolation member enclosing a space partially surrounded by the outer portion of the arm unit and the wall and being in fluid communication with the interior region, the vacuum isolation member providing vacuum isolation for the space such that an atmospheric load on the cantilever assembly is neutralized.
  • An exemplary embodiment of a plasma processing chamber for processing a substrate comprises a wall surrounding an interior region and having an opening; a cantilever assembly extending through the opening, the cantilever assembly including a substrate support surface at a first end inside the interior region and a second end outside the interior region; and an actuation mechanism coupled to the second end and operative to move the cantilever assembly in reverse directions perpendicular to the substrate support surface.
  • An apparatus for adjusting an inter-electrode gap in a capacitively- coupled plasma processing chamber comprising an upper electrode assembly and a wall surrounding an interior region and having an opening, the apparatus comprising a cantilever assembly including a lower electrode, a substrate support surface at a first end and a second end, the cantilever assembly being adapted to extend through the opening such that the first end is inside the interior region and the second end is outside the interior region; and an actuation mechanism coupled to the second end and operative to move the cantilever assembly perpendicular to the substrate support surface.
  • FIG. 1 shows a schematic diagram of a capacitively-coupled plasma processing chamber.
  • FIG. 2 is a schematic cross-sectional diagram of an embodiment of a capacitively-coupled plasma processing chamber including a cantilever assembly.
  • FIG. 3 shows an enlarged view of region A shown in FIG. 2.
  • FIG. 4 shows a schematic top view of the CAM ring and motor shown in FIG. 2.
  • FIG. 5 shows an enlarged schematic view of region B shown in FIG. 2.
  • FIG. 1 illustrates a capacitively-coupled plasma processing chamber 100 of a plasma processing apparatus.
  • a lower electrode assembly includes a focus ring 108; and a chuck 104 for holding a substrate 106 in place during operation of the chamber.
  • the chuck 104 is supplied radio frequency (RF) power by an RF power supply 110.
  • RF radio frequency
  • the lower electrode assembly is fixed to the chamber wall 118.
  • An upper electrode assembly includes an upper electrode 114; a baffle 116; and a cylindrical body 123 from which the upper electrode and baffle suspend.
  • the upper electrode 114 can be grounded or powered by another RF power supply 120 during operation.
  • Gas supplied through the baffle 116 and upper electrode 114 is electrically excited to produce plasma in the gap 125.
  • Plasma in the gap 125 is confined by confinement rings 102. Some of the gas in the plasma passes through spacing/gaps between the confinement rings 102 and is exhausted from the chamber.
  • the entire upper electrode assembly is raised or lowered by an actuator mechanism 124.
  • a sealing arrangement 126 may be used to provide a vacuum seal between the cylindrical body 122 and the reactor top 112 while allowing the upper electrode assembly to move relative to the lower electrode assembly.
  • a portion 123 of the cylindrical body 122 is subject to atmospheric pressure, while the remainder of the upper electrode assembly is subject to low pressures.
  • the upper electrode assembly moves as one integral body, the summation of pressure on the surface of the upper electrode assembly yields a downward force, referred to as atmospheric load.
  • the lower electrode assembly may be moved up and down to adjust the gap, while the upper electrode may be stationary. In such chambers, because the top and bottom sides of the lower electrode assembly are respectively subject to a low pressure and atmospheric pressure, the lower electrode assembly is subject to an atmospheric load directed upwardly.
  • the atmospheric load depends on parameters including the diameter of the upper electrode 114, the cross-sectional dimension of the cylindrical body 122, the pressure of the plasma in the gap 125, and the chamber pressure within the top 112. Because the atmospheric load is present while moving the upper (or lower) electrode assembly, and the atmospheric load can vary, it is desirable to have a capacitively-coupled chamber that can neutralize the atmospheric load, to thereby allow the gap to be controlled more accurately.
  • FIG. 2 shows an exemplary embodiment of a capacitively-coupled plasma (CCP) processing chamber 200 of a plasma processing apparatus. The chamber 200 can neutralize the atmospheric load to allow accurate control of the electrode gap.
  • CCP capacitively-coupled plasma
  • the chamber 200 comprises a wall 204; an upper electrode assembly 202 mounted to the wall; and a chamber top 206 enclosing the top portion of the upper electrode assembly 202.
  • the upper electrode assembly 202 comprises an upper electrode 203; and one or more baffles 205 including gas passages for distributing process gas into a gap 207 defined between the upper electrode 203 and the lower electrode assembly 212.
  • the upper electrode assembly 202 is shown to have three components. However, the upper electrode assembly can include additional components.
  • the wall 204 has a gate 208 through which substrates are unloaded/loaded into the chamber 200.
  • the chamber 200 comprises a cantilever assembly 210 that is raised or lowered by an actuation mechanism 228.
  • the cantilever assembly 210 includes a cantilever arm 214, outer conducting ring 241 , the lower electrode assembly 212, and an insulator 238 for electrically insulating the outer conducting ring 241 from the lower electrode assembly 212.
  • the lower electrode assembly 212 is shown to have one component.
  • the lower electrode assembly 212 can include additional components, such as a lower electrode and chuck for holding a substrate in place on the top surface of the lower electrode assembly 212 during operation.
  • the chuck can be an electrostatic, vacuum, or mechanical chuck.
  • the lower electrode is typically supplied with RF power from one or more RF power supplies 216.
  • the RF power can have a frequency of, for example, 2 MHz to about 27 MHz.
  • the RF power excites the process gas to produce plasma in the gap 207.
  • Other suitable mechanisms such as a lift pin mechanism for lifting the substrate, optical sensors, and a cooling mechanism for cooling the lower electrode assembly 212, are attached to or form portions of the lower electrode assembly 212.
  • the facility components 224 collectively represent these other types of mechanisms.
  • the arm 214 can have a generally cylindrical tube shape.
  • the arm 214 is preferably formed of a conducting material.
  • the arm 214 can have an outer protective coating, or can be formed of a material, such as stainless steel, that can withstand process gas.
  • the cantilever assembly 210 also includes an upper arm support 226 and a lower arm support 220 secured to the arm 214.
  • the arm 214, upper arm support 226, and lower arm support 220 are also collectively referred to as an arm unit.
  • the upper arm support 226 and lower arm support 220 are located outside the side wall 204, these components are also referred to herein as an outer portion of the arm unit.
  • the lower arm support 220 includes a cylindrical tube portion 221 that forms a supply line path 222.
  • Facility supply lines, such as coolant pipes, pneumatic lines, sensor input/output lines, for the facility components 224 pass through the supply line path 222 extending from the inner space of the cylindrical tube portion 221 of the lower arm support 220 to the bottom surface of the lower electrode assembly 212.
  • the supply line path 222 forms a cavity inside the cantilever assembly 210 and is open to the atmosphere.
  • the lower arm support 220 can be formed of conducting material.
  • the upper arm support 226 includes a generally cylindrical tube portion 227 and a top plate 229. One end of the top plate 229 is secured to the actuation mechanism 228. The top plate 229 also supports the RF supply or match 216.
  • the cylindrical tube portion 227 of the upper arm support 226 and the arm 214 provide a space for accommodating an L- shaped RF tube assembly 218.
  • the components of the cantilever assembly 210 i.e., the lower electrode assembly 212; arm 214; RF tube assembly 218; lower and upper arm supports 220, 226; outer conductor ring 241 ; and insulator 238 are moved up and down as one integral body by the actuation mechanism 228 so that the gap 207 is adjusted. Further details of the actuation mechanism 228 are described below in conjunction with FIG. 3.
  • the bottom of the wall 204 is coupled to a vacuum pump unit 239 for exhausting gas from the chamber.
  • the chamber 200 includes at least one vacuum isolation member to provide vacuum isolation for the cantilever assembly 210.
  • the vacuum isolation member comprises two bellows 230a, 230b.
  • the outer surfaces of the lower and upper arm supports 220, 226, and the outer surface of the arm 214 are subject to lower pressures generated by the vacuum pump unit 239.
  • the cantilever assembly 210 Considering a substrate as a part of the cantilever assembly 210, it can be realized that most of the outer surface of the cantilever assembly 210 is located within low pressure regions during operation. As such, the atmospheric load on the cantilever assembly 210, i.e., the total gas pressure around the outer surface of the cantilever assembly 210, is insignificant, i.e., the atmospheric load is neutralized. As the atmospheric load is neutralized in the embodiment, the cantilever assembly 210 delivers a reduced load to the actuation mechanism 228.
  • Process gas injected into the gap 207 is energized to produce plasma to process the substrate, passes through the confinement ring assembly 246, and stays in the space surrounding the outer surfaces of the lower arm support 220, upper arm support 226, and arm 214 until exhausted by the vacuum pump unit 239.
  • the upper and lower arm supports 220, 226 are exposed to reactive process gas during operation, they are formed of material, such as stainless steel, that can withstand the process gas or have protective coatings.
  • the bellows 230a, 230b are formed of a material that can withstand the chemistry, such as stainless steel.
  • the diameter of the bellows 230a, 230b may vary depending on the design requirements and can be about 1.6 cm to about 3.6 cm, for instance.
  • the cantilever assembly 210 is raised or lowered to adjust the gap 207 between the upper electrode assembly 202 and a substrate mounted on the lower electrode assembly 212. To decrease the gap 207, the cantilever assembly 210 is raised to compress the upper bellows 230a and to stretch the lower bellows 230b. Likewise, to increase the gap 207, the cantilever assembly 210 is lowered to stretch the upper bellows 230a and to compress the lower bellows 230b.
  • the volume of the region of the chamber 200 at vacuum pressure substantially does not change during vertical movement of the cantilever assembly 210, which is entirely within the volume defined by the inner surface of the wall 204, the outer surface of the cantilever assembly 210, and bellows 230a, 230b.
  • the volume can be maintained substantially constant because when the cantilevered assembly 210 is moved upwardly, bellows 230a expands and bellows 230b contracts, thereby maintaining substantially the same volume within the vacuum region. As shown in FIG.
  • the dual bellows balance the atmospheric load by maintaining the internal volume of the chamber 200 substantially constant at various vertical positions of the cantilevered assembly 210.
  • atmospheric pressure acts equally on the top of the cantilevered assembly above the chamber 200, and atmospheric pressure acting on the interior of supply line path 222. Because the volume change is insignificant during the gap adjustment, there is reduced fluctuation of the chamber pressure and plasma pressure. The atmospheric load does not fluctuate and cause potential changes in process conditions within the chamber 200.
  • the supply line path 222 extends from the cylindrical tube portion 221 of the lower arm support 220 through the arm 214 to the facility components 224 located under the lower electrode assembly 212.
  • the support line path 222 is open to the atmosphere. However, as the path 222 forms a cavity in the cantilever assembly 210, the summation of the atmospheric pressure on the cavity surface does not yield any atmospheric load.
  • the RF supply 216 supplies RF power to the lower electrode assembly 212 during operation.
  • the RF supply 216 sends RF energy through the L-shaped RF tube assembly 218.
  • the upper section 218a of the RF tube assembly 218 is located inside the cylindrical portion 227 of the upper arm support 226, while the lower section 218b is located inside the arm 214.
  • the bottom portion of the upper section 218a is coupled to an open end of the lower section 218b to form a cavity for RF transmission.
  • the RF tube assembly 218 is formed of a suitable conducting material.
  • An RF conductor 240 located near the closed end of the lower section 218b collects the RF energy transmitted through the RF tube assembly 218 and sends the collected energy to the lower electrode assembly 212.
  • the level of RF matching between the RF supply 216 and RF conductor 240 depends on the dimension of the RF tube assembly 218.
  • the lengths and diameters of the upper and lower sections 218a, 218b of the RF tube assembly 218 preferably have optimum values so that the RF power delivered through the tube assembly 218 is optimized in a wide RF frequency range.
  • both the upper section 218a and the lower section 218b of the RF tube assembly 218 are moved with the RF supply 216 during the gap adjustment.
  • the cantilevered assembly 210 i.e., vertical to the substrate support surface provided on the arm 214) can be effected without sliding parts inside the chamber 200. Consequently, the cantilevered assembly 210 reduces potential for particle generation during gap adjustment. For instance, because the upper end of one end of the horizontal arm 214 is located outside the chamber, the horizontal arm 214 and substrate support can be raised and lowered as a unit without use of a vertical drive mechanism inside the chamber, or sliding parts to accommodate expansion of a bottom electrode assembly.
  • the RF supply line can be made from rigid conducting materials at a preset length as there is no need to accommodate movement between the lower electrode and the RF supply, which otherwise occurs when the software is located on a fixed surface outside a plasma chamber.
  • the gas in the gap 207 is electrically excited to produce plasma by the RF power delivered to the lower electrode assembly 212.
  • the return current which is the current flowing from the lower electrode assembly 212 through the plasma to the upper electrode assembly 202, needs to return back to the RF suppply 216 to complete a current loop.
  • several flexible contacts or strips 234 are used to make secure electrical connection between the wall 204 and the outer conductor ring 241 that is electrically coupled to the arm 214.
  • the outer conductor ring 241 is formed of conducting material and electrically separated from the lower electrode assembly 212 by the insulator 238.
  • the return current completes the loop by flowing from the upper electrode assembly 202 through the wall 204, flexible contacts 234, outer conductor ring 241 , arm 214, wall or shield of the RF tube assembly 218, to the RF supply 216.
  • the bellows 230a, 230b do not form a part of the circuit for the return current.
  • a conductor component 236 is used to electrically connect the arm 214 to the wall of RF tube assembly 218, providing an additional path for the return current.
  • the contacts 234 are sufficiently flexible to accommodate the relative motion.
  • the flexible contacts 234 are preferably formed from a metal alloy, such as beryllium copper (BeCu).
  • the contacts 234 can have a plasma resistant coating to protect them from reactive process gases.
  • the flexible contacts 234 are stretched or compressed due to the relative motion between the wall 204 and conductor ring 241.
  • the contacts 234 may have a curved shape to provide stress relief.
  • process gas is excited to produce plasma in the gap 207.
  • the confinement ring assembly 246 is operable to confine the plasma at different pressures and gas flow conditions.
  • the confinement ring assembly 246 is actuated by a CAM ring/plunger assembly 250.
  • the CAM ring/plunger assembly 250 includes a CAM ring 242; a motor 244 for rotating the CAM ring 242; and plunger assemblies coupled to the CAM ring 242 and confinement ring assembly 246. Further details of the confinement ring assembly 246 and CAM ring/plunger assembly 250 are described below in conjunction with FIGs. 4 and 5.
  • patterning microelectronic layers on substrates includes several etching/deposition steps. During the several steps, successive byproduct layers are deposited on the surfaces of the upper and lower electrode assemblies. As the bonds between the byproduct layers and the assembly surfaces eventually weaken, the byproduct layers may peel or flake off from the surfaces to contaminate the substrate.
  • the upper electrode assembly 202 remains fixed while the cantilever assembly 210 is moved in the vertical direction to adjust the gap 207 between the lower and upper electrode assemblies 212, 202. As such, most of the flakes may fall off from the cantilever assembly 210 during transition between the steps or loading/unloading of substrates. As the substrate is located on top of the cantilever assembly 210, i.e., the substrate is located above the contamination region, the byproduct contamination may be significantly reduced, enhancing the manufacturing yield.
  • FIG. 3 is an enlarged schematic view of region A shown in FIG. 2, illustrating the actuation mechanism 228 (FIG. 2) for moving the cantilever assembly 210.
  • an end portion of the upper arm support 226 is rotatably secured to the tip of a lead screw or ball screw 306.
  • a motor 304 secured to a support bracket 302 actuates the lead screw 306 via a belt 308 or other suitable motion transmission mechanism.
  • the bottom of the screw 306 is rotatably secured to the bracket 302.
  • Guide 310 has a female threaded hole which mates with the lead screw 306.
  • a rotational motion of the lead screw 306 generates a vertical motion of the upper arm support 226, support bracket 302, and motor 304.
  • the type of motor 304 and the pitch of the threads formed in the screw 306 affect the degree of precision in adjusting the gap 207, preferably to few tenths of microns.
  • the motor 304 is controlled by a motor control system 312.
  • the motor control system 312 can be coupled to a sensor for measuring the size of the gap 207 so that the gap control is performed in a feedback control mode.
  • in-situ detectors such as laser, inductive, capacitive, acoustic, linear variable differential transformer (LDVT) sensors, can be used as a gap sensor and located either inside or outside the wall 204, depending on the type of sensor.
  • LDVT linear variable differential transformer
  • FIG. 4 is a schematic top view of the CAM ring 242 and motor 244 shown in FIG. 2.
  • the motor 244 is coupled to the CAM ring 242 via a belt 404.
  • the belt 404 is attached to the CAM ring 242 at points 406 and 408.
  • the belt 404 can wrap around the CAM ring 242.
  • a tensioning arrangement 410 takes up the slack in the belt 404 and pulls the CAM ring 242 toward motor 244 to urge the inner surface of the CAM ring 242 to be in rolling contact with rollers 412 and 414.
  • Three plunger assemblies 250 are coupled to the CAM ring 242.
  • the plunger assemblies 250 actuate the confinement ring assembly 246, as described below in conjunction with FIG. 5.
  • the motor 244 is controlled by a motor control unit 420.
  • the chamber 200 can include one or more pressure sensors for measuring the pressure in the gap 207 as well as in the space 270 between the chamber wall 204 and the cantilever assembly 210. Signals from the sensor(s) are sent to the motor control unit 420.
  • the motor control unit 420 is coupled to the pressure sensors, such that signals from the sensor(s) are sent to the motor control unit 420.
  • the motor control unit 420 and pressure sensor can operate in a feedback control mode.
  • Additional rollers can be used to define the center of rotation of the CAM ring 242.
  • Three plunger assemblies 250 are shown disposed about the CAM ring 242. However, other embodiments can include a different number of plunger assemblies.
  • FIG. 5 is an enlarged schematic view of region B shown in FIG. 2.
  • the plunger assembly 250 includes a wheel 502, which is shown to be in rolling contact with the CAM ring 242; and a backing plate 506,
  • the wheel 502 is adjustably mounted on the backing plate 506 via a suitable mechanism, such as bolt-and-slot arrangement.
  • the backing plate 506 is mounted on the chamber top 206 (FIG. 2) and is essentially immobile with respect to the chamber top 206.
  • the assembly 250 also includes a plunger 504 and a CAM follower 508 mounted on the plunger 504.
  • the plunger 504 and CAM follower 508 are urged toward a lower surface 512 of the CAM ring 242 by a spring 510.
  • the CAM follower 508 stays in contact with the lower surface 512 to permit the plunger 504 to rise or fall with the contours in the lower surface 512.
  • the plunger 504 moves up and down in a direction 540, which is orthogonal to the plane defined by the WAP ring 532 and confinement rings 534.
  • a pair of seals 507 mounted in grooves formed in the upper electrode assembly 202 permit the lower pressure within the chamber to be maintained as plunger 504 moves up and down following the contour in the lower surface 512 of the CAM ring 242. Although two seals 507 are shown, other suitable number of seals can be employed as desired. [0037] Vertical motion of the plunger 504 is controlled by the contour in the lower surface 512 of the CAM ring 242. As depicted in FIG. 5, the lower surface 512 includes a CAM region 522. There is preferably one CAM region for every plunger assembly 250.
  • the CAM region 522 preferably includes an inclining surface 526, which causes the plunger 504 to be moved downward as the CAM ring 242 rotates in the direction of arrow 518.
  • the declining surface 528 is not employed for controlling the plunger 504. Instead, the plunger 504 is moved upward and downward by employing only the inclining surface 526 as the CAM ring 242 is rotated back and forth and the CAM follower 508 follows the contour of the inclining surface 526.
  • the inclining surface 526 can have two separate regions having two different slopes. As shown, the first slope 530 is steeper than the second slope 524 to allow the plunger 504 to move upward and downward a greater distance per degree of rotation of the CAM ring 242.
  • the slope 530 may be used for coarse control while the slope 524 is used for fine control of the plunger 504.
  • the inclining surface 526 may have one continuous slope.
  • the plunger 504 is coupled to the confinement ring assembly 246. More specifically, the bottom end of the each plunger 504 is coupled to the WAP ring 532 and a plurality of confinement rings 534a, 534b, 534c (referred to herein collectively as confinement rings 534).
  • the plungers 504 move in the direction of arrow 540 to thereby control the location of the rings 532, 534 and the gaps 536a, 536b, 536c, 536d (collectively referred to herein as gaps 536) between the rings 532, 534.
  • Process gas is introduced into the gap 207 through the upper electrode assembly 202, which may include one or more baffles so that the process gas flows in the region 207 with a showerhead effect. In the gap 207, the process gas is excited to produce plasma to process a substrate mounted on the top support surface of the lower electrode assembly 212.
  • the gap 207 which is coaxial with the central axis of the substrate, is spaced from the wall 204 by virtue of the region including the confinement ring assembly 246.
  • the WAP ring 532 is coupled to the ends of plungers 504 and the rings 534 suspend from the WAP ring 532 via a post 538.
  • the rings 532, 534 have a louver arrangement and the gaps 536 between the rings are controlled to confine the plasma over a wide range of the gap 207.
  • the rings 532, 534 get separated from each other.
  • the bottom ring 534a comes into contact with the shoulder of the outer conductor ring 242.
  • the rings 532, 534 are preferably formed of a material having high electrical conductivity, such as silicon carbide having a high electrical conductivity of about 2000 ⁇ -cm and able to withstand the harsh operational environment of the plasma in the gap 207.
  • the rings 532, 534 may be formed of other suitable conductive materials, such as aluminum or graphite.
  • the post 538 may be formed of metal.
  • the confinement ring assembly 246 assists in confining the plasma to the space surrounded by the upper and lower electrode assemblies 202, 212 and by the rings 532, 534, while allowing neutral gas constituents in the gap 207 to pass through the gaps 536 in a generally horizontal direction. Then, neutral gas constituents flow into the space 550 surrounded by the inner surface of the wall 204, the outer surface of the cantilever assembly 210, and the bellows 230. The pressure in the space 550 is controlled by the vacuum pump unit 239 attached to the bottom of the wall 204. As such, the confinement ring assembly 246 separates the gap or plasma excitation region 207 from the space 550.
  • the volume of the gap region 207 is small compared to that of the space 550. Because the etch rate of the substrate is directly affected by the plasma in the gap 207, the assembly 246 enables a small volume pressure control and plasma confinement over the entire range of the gap 207 without major physical change to the chamber hardware. Also, as the volume of the gap 207 is small, the plasma conditions can be controlled quickly and accurately.
  • the electrode surfaces facing the plasma are gradually eroded by the plasma.
  • the gap 207 can be adjusted to compensate for wear of the electrodes so that the process repeatability is maintained, and thereby the lifetime of the electrode is extended and cost of consumables is lowered.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
PCT/US2008/000001 2007-01-17 2008-01-02 Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor WO2008088668A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009546392A JP5090468B2 (ja) 2007-01-17 2008-01-02 容量結合型高周波プラズマ反応器における電極間隙を調整する装置
CN2008800025478A CN101584026B (zh) 2007-01-17 2008-01-02 用于调整电容耦合rf等离子体反应器中的电极空隙的装置
KR1020097017053A KR101492281B1 (ko) 2007-01-17 2008-01-02 용량-결합형 rf 플라즈마 반응기에서 전극 갭을 조정하는 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/653,869 US7732728B2 (en) 2007-01-17 2007-01-17 Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US11/653,869 2007-01-17

Publications (1)

Publication Number Publication Date
WO2008088668A1 true WO2008088668A1 (en) 2008-07-24

Family

ID=39618121

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/000001 WO2008088668A1 (en) 2007-01-17 2008-01-02 Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor

Country Status (6)

Country Link
US (2) US7732728B2 (ja)
JP (2) JP5090468B2 (ja)
KR (1) KR101492281B1 (ja)
CN (1) CN101584026B (ja)
TW (1) TWI460769B (ja)
WO (1) WO2008088668A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011119461A (ja) * 2009-12-03 2011-06-16 Tokyo Electron Ltd プラズマ処理装置
CN102576672A (zh) * 2009-09-03 2012-07-11 应用材料公司 利用可倾斜的高架rf感应源的等离子体反应器
JP2013102236A (ja) * 2008-02-08 2013-05-23 Lam Research Corporation プラズマ処理チャンバのパーツのための保護被覆およびその使用方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
FR2921538B1 (fr) * 2007-09-20 2009-11-13 Air Liquide Dispositifs generateurs de plasma micro-ondes et torches a plasma
US8519724B2 (en) * 2007-10-05 2013-08-27 Lam Research Corporation Electrode for use in measuring dielectric properties of parts
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
SG188140A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
TWI500097B (zh) * 2009-02-23 2015-09-11 Hanmi Semiconductor Co Ltd 處理半導體封裝體之系統
WO2011026129A2 (en) * 2009-08-31 2011-03-03 Lam Research Corporation Radio frequency (rf) ground return arrangements
US8992722B2 (en) * 2009-09-01 2015-03-31 Lam Research Corporation Direct drive arrangement to control confinement rings positioning and methods thereof
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8652297B2 (en) 2010-08-03 2014-02-18 Applied Materials, Inc. Symmetric VHF plasma power coupler with active uniformity steering
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9508530B2 (en) * 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9953825B2 (en) * 2011-11-24 2018-04-24 Lam Research Corporation Symmetric RF return path liner
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
CN104103549B (zh) * 2013-04-07 2018-05-18 盛美半导体设备(上海)有限公司 半导体工艺腔室
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR20150052996A (ko) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 기판 이송 장치 및 이를 포함하는 박막 증착 장치
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
CN110690098A (zh) * 2014-02-06 2020-01-14 应用材料公司 基板支撑组件以及用于处理基板的设备
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
CN105742203B (zh) * 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
CN110610841B (zh) * 2018-06-14 2022-01-28 中微半导体设备(上海)股份有限公司 一种等离子体约束组件及其所在的处理装置
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US10741407B2 (en) 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备
CN113808897B (zh) * 2020-06-12 2023-10-31 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
CN112349576B (zh) * 2020-10-20 2022-09-16 北京北方华创微电子装备有限公司 下电极组件及半导体工艺设备
US20220359164A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Cantilever with etch chamber flow design
JP2023043679A (ja) * 2021-09-16 2023-03-29 株式会社Screenホールディングス 基板処理装置および基板処理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP2001284332A (ja) * 2000-01-26 2001-10-12 Matsushita Electric Ind Co Ltd ワークのプラズマ処理装置およびワークのプラズマ処理方法
KR100345420B1 (ko) * 1995-06-07 2002-11-21 동경 엘렉트론 주식회사 플라즈마처리장치
KR20030051698A (ko) * 2000-10-04 2003-06-25 램 리서치 코포레이션 플라즈마 한정용 웨이퍼 영역 압력 제어 장치 및 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US601960A (en) * 1898-04-05 hartmann
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3565311B2 (ja) 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
JP4307628B2 (ja) * 1999-05-19 2009-08-05 キヤノンアネルバ株式会社 Ccp反応容器の平板型ガス導入装置
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
JP2001214277A (ja) * 2000-01-31 2001-08-07 Canon Inc 堆積膜形成装置および堆積膜形成方法
AU2002227418A1 (en) 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
JP2003224077A (ja) 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
CN1190544C (zh) * 2002-12-12 2005-02-23 东华大学 一种用于纤维表面改性的常压低温等离子体处理装置
US7059268B2 (en) * 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
US6830642B2 (en) * 2003-03-17 2004-12-14 Charles E. Greenhill Method and apparatus for applying pressure sensitive adhesive labels to a series of objects moving in multiple columns
JP4173389B2 (ja) 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4554902B2 (ja) * 2003-09-02 2010-09-29 株式会社日立製作所 サービス提供システム
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP2006253448A (ja) * 2005-03-11 2006-09-21 Hitachi Kokusai Electric Inc 基板処理装置
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100345420B1 (ko) * 1995-06-07 2002-11-21 동경 엘렉트론 주식회사 플라즈마처리장치
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
JP2001284332A (ja) * 2000-01-26 2001-10-12 Matsushita Electric Ind Co Ltd ワークのプラズマ処理装置およびワークのプラズマ処理方法
KR20030051698A (ko) * 2000-10-04 2003-06-25 램 리서치 코포레이션 플라즈마 한정용 웨이퍼 영역 압력 제어 장치 및 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013102236A (ja) * 2008-02-08 2013-05-23 Lam Research Corporation プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
CN102576672A (zh) * 2009-09-03 2012-07-11 应用材料公司 利用可倾斜的高架rf感应源的等离子体反应器
CN102576672B (zh) * 2009-09-03 2015-06-17 应用材料公司 利用可倾斜的高架rf感应源的等离子体反应器
JP2011119461A (ja) * 2009-12-03 2011-06-16 Tokyo Electron Ltd プラズマ処理装置
US8986495B2 (en) 2009-12-03 2015-03-24 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
US20080171444A1 (en) 2008-07-17
CN101584026A (zh) 2009-11-18
TW200845138A (en) 2008-11-16
US8080760B2 (en) 2011-12-20
CN101584026B (zh) 2012-11-14
US7732728B2 (en) 2010-06-08
JP2010517266A (ja) 2010-05-20
TWI460769B (zh) 2014-11-11
KR101492281B1 (ko) 2015-02-11
JP5090468B2 (ja) 2012-12-05
JP2013038433A (ja) 2013-02-21
US20100124822A1 (en) 2010-05-20
KR20090106612A (ko) 2009-10-09

Similar Documents

Publication Publication Date Title
US8080760B2 (en) Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US9150967B2 (en) Plasma processing apparatus and sample stage
USRE47275E1 (en) Substrate support providing gap height and planarization adjustment in plasma processing chamber
US8043430B2 (en) Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
JP5214743B2 (ja) プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
US9520276B2 (en) Electrode assembly and plasma processing apparatus
CN112655069B (zh) 等离子处理装置以及等离子处理方法
KR101644915B1 (ko) 플라즈마 처리 장치
JP2009123934A (ja) プラズマ処理装置
JP2010232694A (ja) フォーカスリング及びプラズマ処理装置
KR20090106631A (ko) 진공 척이 있는 베벨 에칭 장치
KR20100007322A (ko) 3차원 표면형상을 갖는 원통형 가공물을 위한 유도 결합형플라즈마 공정 챔버 및 방법
KR20190095075A (ko) 플라스마 처리 장치
EP1073779A1 (en) Reduced impedance chamber
KR101743304B1 (ko) 고압력 베벨 에칭 프로세스
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
Leou et al. Experimental Characterization of an Inductively Coupled Plasma Discharge Using a Shape-Adjustable Coil
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
KR20240098722A (ko) 기판 지지대 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880002547.8

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08712913

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2009546392

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020097017053

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 08712913

Country of ref document: EP

Kind code of ref document: A1