TW201630067A - 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 - Google Patents

用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 Download PDF

Info

Publication number
TW201630067A
TW201630067A TW104139822A TW104139822A TW201630067A TW 201630067 A TW201630067 A TW 201630067A TW 104139822 A TW104139822 A TW 104139822A TW 104139822 A TW104139822 A TW 104139822A TW 201630067 A TW201630067 A TW 201630067A
Authority
TW
Taiwan
Prior art keywords
feature
deposition
reactant
stack
forming
Prior art date
Application number
TW104139822A
Other languages
English (en)
Inventor
喬瑟夫 史考特 布立格茲
艾瑞克 A 哈得森
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201630067A publication Critical patent/TW201630067A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

此處各種不同的實施例關於在半導體基板上的介電材料中形成凹入的特徵部之方法、設備及系統。獨立的蝕刻及沉積操作係以循環的方式加以使用。每個蝕刻操作部分地蝕刻特徵部。每個沉積操作在特徵部的側壁上形成保護性塗層,以在蝕刻操作期間防止介電材料的橫向蝕刻。保護性塗層可使用造成保護性塗層實質上沿側壁的整個長度形成之方法加以沉積。該保護性塗層可使用特殊的反應物加以沉積,該特殊的反應物在一些實施例中具有低黏附係數。該保護性塗層亦可使用特殊的反應機制加以沉積,該等特殊的反應機制造成實質上完整的側壁塗層。在某些情況下,保護性塗層係使用電漿輔助的原子層沉積或電漿輔助的化學氣相沉積加以沉積。

Description

用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
本發明關於在半導體基板上的介電材料中形成特徵部的技術。
在半導體元件的製造期間經常使用的一個製程係在介電材料中蝕刻的圓筒狀物之形成。此種製程可能發生的示例背景包含但不限於記憶體應用,諸如DRAM及3D NAND結構。隨著半導體工業進步及元件尺寸變得更小,此等圓筒狀物變得越來越難以均勻的方式蝕刻,特別是對於具有窄的寬度及/或深的深度之高深寬比圓筒狀物。
此處某些實施例關於在半導體基板上的介電材料中形成蝕刻的特徵部之方法及設備。所揭示的實施例可利用某些技術以在蝕刻的特徵部之側壁上沉積鈍化材料,從而允許高深寬比的蝕刻發生。
在此處實施例的一個實施態樣中,一種在半導體基板上的介電材料中形成蝕刻的特徵部之方法係加以提供,該方法包含:(a)產生包含一蝕刻反應物的一第一電漿,將該基板曝露於該第一電漿,及在該介電材料中部分地蝕刻的該特徵部;(b)在(a)之後,在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係實質上沿著該特徵部的整個深度加以沉積;(c)重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
在某些實施例中,該保護性膜係使用在用以沉積該保護性膜的條件下具有約0.05或更小黏附係數的前驅物加以形成。在該最終深度,該特徵部可具有約20或更高的一深寬比,及約20%或更少的一弓形部。
所揭示的實施例可在各種背景下使用。在一些情況下,該特徵部係在形成一VNAND元件的背景下加以形成,其中,該介電材料包含(i)氧化物材料、及(ii)氮化物材料或多晶矽材料之交替的層。在一些其他的情況下,該特徵部係在形成一DRAM元件的背景下加以形成,其中該介電材料包含氧化矽。
在各種實施方式中,操作(b)包含藉由一電漿輔助的原子層沉積反應沉積該保護性膜,該電漿輔助的原子層沉積反應包含:(i)將該基板曝露於一第一沉積反應物,及允許該第一沉積反應物吸附在該特徵部的側壁之上;(ii)在(i)之後,將該基板曝露於包含一第二沉積反應物的一第二電漿,其中將該基板曝露於該第二電漿的步驟驅動在該第一沉積反應物及該第二沉積反應物之間的一表面反應,從而在該特徵部的側壁上形成該保護性膜。第一沉積反應物在某些情況下包含一含硼反應物。或者或在此之外,第一沉積反應物可包含一含矽反應物。在某些實施例中,該第二沉積反應物包含一含氮反應物,及該保護性膜包含氮化硼。在一些情況下,操作(a)進一步包含將在(b)中形成的該氮化硼保護性膜氧化以形成一氧化硼保護性膜。在一些此等情況下,該第一沉積反應物包含BCl3 ,該第二沉積反應物包含N2 及H2 ,在(a)中的該蝕刻反應物包含一或多種氟碳化物及O2 ,及該方法在(a)期間進一步包含將該氮化硼保護性膜氧化以形成氧化硼。
在一些實施例中,操作(b)包含藉由電漿輔助化學氣相沉積反應沉積該保護性膜,該電漿輔助化學氣相沉積反應包含將該基板曝露於一第二電漿的同時,將該基板曝露於一第一沉積反應物及一第二沉積反應物,其中,該第二電漿驅動造成在該特徵部的側壁上之該保護性膜之沉積的反應。該第一沉積反應物可包含一含硼反應物及/或一含矽反應物,及該保護性膜可為一含硼的膜及/或一含矽的膜。在一些情況下,該第一沉積反應物包含BCl3 ,該第二沉積反應物包含N2 及H2 ,及在(b)中形成的該保護性膜係氮化硼,其中在(a)中的該蝕刻反應物包含一或多個氟碳化物及O2 ,及在(a)期間進一步包含將該氮化硼保護性膜轉變為氧化硼。在各種實施例中,該保護層包含氟碳化物聚合物。
特徵部可為高深寬比的特徵部。在一些情況下,該特徵部具有至少約5(例如至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100)之深寬比的特徵部。在一特殊的例子中,該特徵部的深寬比係至少約50。操作(a)及(b)可重覆數次。在一些情況下,(a)及(b)係重覆至少一次。在一些情況下,(a)及(b)係重覆至少兩次、至少三次、至少五次、或至少十次。
在所揭示實施例的另一個實施態樣中,一種在半導體基板上的介電材料中形成蝕刻的特徵部之設備係加以提供,該設備包含:一或多個反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,及其中至少一反應腔室係設計或配置以執行沉積,各個反應腔室包含:用於將處理氣體引至該反應腔室的一入口,用於從該反應腔室移除材料的一出口,及一電漿源;以及一控制器,具有用於以下操作的指令:(a)產生包含一蝕刻反應物的一第一電漿,將該基板曝露於該第一電漿,及在該介電材料中部分地蝕刻該特徵部,其中(a)係在設計或配置以執行蝕刻的該反應腔室中加以執行;(b)在(a)之後,在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係實質上沿著該特徵部的整個深度加以沉積,且其中(b)係在設計或配置以執行沉積的該反應腔室中加以執行;(c)重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
在一些實施例中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的反應腔室相同,使得(a)及(b)兩者發生在相同的反應腔室內。在其他的實施例中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的反應腔室不同,且該控制器進一步包含將該基板在設計或配置以執行蝕刻的該反應腔室與設計或配置以執行沉積的該反應腔室之間轉移的指令。
這些及其他特徵將參考相關圖示於下加以說明。
在此申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造的積體電路」係互換地加以使用。在此技術領域具有通常知識者將理解術語「部分製造的積體電路」可意指在其上積體電路製造的許多階段之任一者期間的矽晶圓。在半導體元件工業中使用的晶圓或基板一般具有200 mm、或300 mm、或450 mm的直徑。下列詳細的敘述假定本發明係在晶圓上加以實現。然而,本發明係非如此限制性的。工件可為任何形狀、大小、及材料。除了半導體晶圓之外,可利用本發明的其他工件包含各種物件,諸如印刷電路板、磁記錄媒體、磁記錄感測器、鏡子、光學元件、微機械元件等。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節加以實施。另一方面,未詳細說明眾所周知的製程操作,以不要不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例加以描述,但可理解其係非意圖限制所揭示的實施例。   I.         用於在介電材料中蝕刻高深寬比特徵部的技術
某些半導體元件的製造包含將特徵部蝕刻進入一種以上介電材料。該介電材料可為單層材料或材料堆疊。在一些情況下,堆疊包含交替的介電材料層(例如氮化矽及氧化矽)。一個示例蝕刻的特徵部係一圓筒狀物,其可具有高深寬比。隨著此等特徵部的深寬比持續增加時,將特徵部蝕刻進入介電材料係逐漸具有挑戰性。於高深寬比特徵部的蝕刻期間產生的一個問題係不均勻的蝕刻輪廓。換句話說,該等特徵部非以直線向下的方向蝕刻。反之,特徵部的側壁通常係弓形的,使得蝕刻之特徵部的中間部分係比特徵部的頂部及/或底部部分較寬(即,進一步被蝕刻)。接近特徵部之中間部分的過度蝕刻可導致受損害的剩餘材料之結構及/或電子完整性。
不受任何作用的理論或機制約束,吾人相信在圓筒狀物或其他特徵部之中間部分的過度蝕刻發生,至少部分是因為圓筒狀物的側壁係未充分地受保護免於蝕刻。傳統蝕刻化學品利用氟碳化物蝕刻劑以在介電材料中形成圓筒狀物。氟碳化物蝕刻劑係藉由電漿曝露加以激發,該電漿曝露造成各種氟碳化物片段(例如CF、CF2 、及CF3 )的形成。反應性的氟碳化物片段藉由離子的輔助蝕刻掉在特徵部(例如圓筒狀物)之底部的介電材料。其他氟碳化物片段係在被蝕刻之圓筒狀物的側壁上加以沉積,從而形成保護性聚合側壁塗層。此保護性側壁塗層促使特徵部的底部而非特徵部的側壁優先蝕刻。在沒有此側壁保護的情況下,特徵部開始呈現不均勻的輪廓,其中在側壁保護不充分之處具有較寬的蝕刻/圓筒狀物寬度。
側壁保護在高深寬比的特徵部中係特別難以達成。此困難的一個原因係既有之基於氟碳化物的製程在被蝕刻的圓筒狀物深處無法形成保護性聚合側壁塗層。圖1呈現圓筒狀物102的圖,該圓筒狀物102在使用圖案化的遮罩層106塗覆之介電材料103中加以蝕刻。雖然下列討論有時參照圓筒狀物,但此概念應用至其他的特徵部形狀,諸如矩形及其他多邊形。保護性聚合側壁塗層104係集中在圓筒狀物102的頂部部分附近。CHx Fy 化學品提供用於垂直蝕刻圓筒狀物的蝕刻反應物,以及形成保護性聚合側壁塗層104的反應物兩者。因為保護性聚合側壁塗層104不延伸進圓筒狀物深處(即,在側壁上有不充分的沉積),圓筒狀物102的中間部分變得比圓筒狀物102的頂部部分還寬。該較寬之圓筒狀物102的中間部分係稱為弓形部105。該弓形部可針對在弓形部區域處之特徵部的臨界尺寸與在該弓形部區域之上(例如頸部區域)特徵部的臨界尺寸之間的比較以數字加以描述。弓形部可從距離方面(例如:在特徵部最寬部分的臨界尺寸減去在弓形部之上特徵部最窄部分的臨界尺寸),或從比例/百分比方面(在特徵部最寬部分的臨界尺寸除以在弓形部之上特徵部最窄部分的臨界尺寸)以數字加以表現。此弓形部105及相關的不均勻蝕刻輪廓係不期望的。由於在此蝕刻製程之類型中經常使用的高離子能量,弓形部係通常在蝕刻高深寬比的圓筒狀物時加以產生。在一些應用中,弓形部甚至在低至約5的深寬比中加以產生。因此,傳統的氟碳化物蝕刻化學品係通常限於在介電材料中形成相對低深寬比的圓筒狀物。一些現代應用需要具有與使用傳統蝕刻化學品可達成之圓筒狀物相比更高深寬比的圓筒狀物。   II.      背景及應用
在此處各種實施例中,特徵部係蝕刻進基板(一般為半導體晶圓),該基板的表面上具有介電材料。蝕刻製程通常係基於電漿的蝕刻製程。整體特徵部形成過程可分階段發生:一個階段針對蝕刻介電材料,且另一個階段針對形成保護性側壁塗層而基本上沒有蝕刻介電材料。該保護性側壁塗層將側壁鈍化及防止特徵部被過度蝕刻(即,側壁塗層防止特徵部的橫向蝕刻)。此兩個階段可加以重複直到特徵部係蝕刻至其最終深度。藉由循環此兩階段,特徵部的直徑可在特徵部的整個深度加以控制,從而形成具有更均勻的直徑/改善的輪廓之特徵部。
一個特徵部係基板表面中的凹部。特徵部可具有許多不同的形狀,包含但不限於圓筒狀、矩形、正方形、其他多邊形凹部、溝槽等。
深寬比係特徵部深度對特徵部臨界尺寸(通常係其寬度/直徑)的比較值。例如:具有2 µm深度及50 nm寬度的圓筒狀物具有40:1的深寬比,通常更簡單地以40描述。由於特徵部在特徵部的整個深度上可具有不均勻的臨界尺寸,所以深寬比可根據測量之處變化。例如:有時蝕刻的圓筒狀物可具有比頂部及底部部分更寬的中間部分。此較寬的中間部分如上所述可稱為弓形部。基於在圓筒狀物的頂部(即,頸部)之臨界尺寸測量的深寬比,將高於基於在圓筒狀物之較寬的中部/弓形部之臨界尺寸測量的深寬比。當於此處使用時,除非另有說明,深寬比係基於靠近特徵部開口的臨界尺寸加以測量。
藉由所揭示的方法形成的特徵部可為高深寬比的特徵部。在一些應用中,高深寬比特徵部係具有至少約5、至少約10、至少約20、至少約30、至少約40、至少約50、至少約60、至少約80、或至少約100之深寬比的特徵部。藉由所揭示的方法形成之特徵部的臨界尺寸可為約200 nm或更小,例如:約100 nm或更小、約50 nm或更小、或約20 nm或更小。
在各種情況下,特徵部蝕刻進入的材料可為介電材料。示例材料包含但不限於矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜變型(例如摻雜硼、磷等)、及來自這些材料之任意組合的積層物。特定示例材料包含SiO2 、SiN、SiON、SiOC、SiCN等的化學計量及非化學計量製劑。
所揭示方法的一個應用係在形成DRAM元件的背景下。在此情況下,特徵部可主要在氧化矽中加以蝕刻。例如:基板亦可包含一層、兩層、或多層氮化矽。在一例子中,基板包含夾在兩個氮化矽層之間的氧化矽層,其中氧化矽層係在約800-1200 nm厚度之間,而一或多個氮化矽層係在約300-400 nm厚度之間。蝕刻的特徵部可為具有在約1-3 µm之間的最終深度之圓筒狀物,例如:在約1.5-2 µm之間。圓筒狀物可具有在約20-50 nm之間的寬度,例如:在約25-30 nm之間。在圓筒狀物係被蝕刻之後,電容器記憶體單元可在其中加以形成。
所揭示方法的另一個應用係在形成垂直NAND(ⅤNAND,亦稱作為3D NAND)元件的背景下。在此情況下,特徵部蝕刻進入的材料可具有重複的層狀結構。例如:該材料可包含交替之氧化物(例如SiO2 )及氮化物(例如SiN)的層,或交替之氧化物(例如SiO2 )及多晶矽的層。交替的層形成材料的配對。在一些情況下,配對的數目可為至少約20、至少約30、至少約40、至少約60、或至少約70。該等氧化物層可具有在約20-50 nm之間的厚度,例如:在約30-40 nm之間。氮化物或多晶矽層可具有在約20-50 nm之間的厚度,例如:在約30-40 nm之間。蝕刻進交替的層之特徵部可具有在約2-6 µm之間的深度,例如:在約3-5 µm之間。特徵部可具有在約50-150 nm之間的寬度,例如:在約50-100 nm之間。   III.    蝕刻/沉積製程
圖2呈現一流程圖,用於在半導體基板內形成蝕刻的特徵部之方法。顯示於圖2的操作係相對於圖3A-3D加以描述,圖3A-3D顯示當蝕刻特徵部時部分製造的半導體基板。在操作201,特徵部302係在基板中蝕刻至第一深度,該基板具有介電材料303及圖案化的遮罩層306。此第一深度僅為特徵部之最終期望深度的一部分。用以蝕刻特徵部的化學品可為基於氟碳化物的化學品(CHx Fy )。其他的蝕刻化學品可加以使用。此蝕刻操作201可造成第一側壁塗層304的形成。該第一側壁塗層304可為聚合側壁塗層,如關於圖1所描述。第一側壁塗層304朝第一深度延伸,儘管在許多情況下,第一側壁塗層304實際上並未到達特徵部302的底部。
當某些氟碳化物物種/片段在特徵部的側壁上沉積時,第一側壁塗層304間接從CHx Fy 蝕刻化學品加以形成(即,某些氟碳化物物種係用於第一側壁塗層304的前驅物)。第一側壁塗層304無法到達特徵部302之底部的一個原因可能關於形成塗層之前驅物的黏附係數。特別是,吾人相信對於某些蝕刻劑,這些第一側壁塗層前驅物的黏附係數係過高,這造成絕大多數前驅物分子在進入特徵部後不久附著於側壁。因此,稀少側壁塗層前驅物分子係能夠深穿進側壁保護係有益之處的特徵部。第一側壁塗層304因而對特徵部302之側壁的過度蝕刻只提供部分保護。在一些實施方式中,蝕刻條件幾乎沒有提供任何側壁保謢。
接著,在操作203停止蝕刻製程。在停止蝕刻之後,第二側壁塗層310係在操作205中加以沉積。在某些情況下,第二側壁塗層310可為實際上第一側壁塗層。此沉積可透過各種反應機制加以發生,該等各種反應機制包含但不限於化學氣相沉積(CVD)方法及原子層沉積(ALD)方法(其中任何一者可為電漿輔助)。ALD方法係特別適合用於形成保形膜,該保形膜襯裡特徵部的側壁。例如:由於該等方法的吸附-驅動本質,ALD方法對於將反應物遞送進入特徵部深處係有用的。雖然此處實施例係非限於第二側壁塗層310係藉由ALD沉積的方法,但選擇以沉積第二側壁塗層310的方法應允許保護層在蝕刻的特徵部302深處加以形成。CVD及其他沉積製程在各種實施方式中可能為適合的。
在某些實施例中,第二側壁塗層310係使用具有相對低的黏附係數之反應物加以沉積(例如:含矽反應物及/或含硼反應物)。在遞送反應物的時間點無論何種物種係存在於側壁上,黏附係數應為低的(例如:相對於原生側壁及/或存在側壁上的任何物種,黏附係數可為低的)。此外,黏附係數係塗層物種實際接觸側壁;此等物種可能不是進入腔室的反應物。在一實施例中,其中第二側壁塗層310係藉由ALD方法加以沉積,在操作205中的沉積包含(a)將低黏附係數的反應物流進反應腔室,及允許反應物吸附在基板的表面之上,從而形成吸附的前驅物層312;(b)選用性地沖洗反應腔室(例如:藉由使用沖洗氣體吹掃、抽空反應腔室、或兩者);(c)將基板曝露於自含氧及/或含氮反應物產生的電漿(通常亦與氫一起提供),以從而驅動表面反應以形成一層第二側壁塗層310(此第二側壁塗層310一般係抗蝕刻膜);(d)選用性地沖洗反應腔室;及(e)重複(a)-(d)以形成第二側壁塗層310之額外的層。吸附的前驅物層312係顯示於圖3B中,且第二側壁塗層310係顯示於圖3C中。前驅物吸附(圖3B)及膜形成(圖3C)可循環數次以形成具有期望厚度的膜。
在另一實施例中,其中第二側壁塗層310係藉由CVD方法加以沉積,沉積可包含將低黏附係數的反應物流進反應腔室,選用性地伴隨共反應物(例如:含氧反應物及/或含氮反應物,選用性與氫一起提供),且同時將基板曝露於電漿。電漿驅動氣相反應,其導致第二側壁塗層310的沉積。在此例子中,該方法係由圖3A、3C、及3D加以表示(沒有形成吸附的前驅物層312,因此省略圖3B)。
黏附係數係一術語,用以描述在同樣的時間週期期間,與撞擊表面之物種的總數目相比,吸附/黏附於表面之被吸附物種(例如:原子或分子)之數目的比例。符號Sc 係有時用以表示黏附係數。Sc 的數值係在0(表示沒有物種黏附)和1(表示所有撞擊物種黏附)之間。各種因素影響黏附係數,包含撞擊物種的類型、表面溫度、表面覆蓋、表面的結構細節、及撞擊物種的動能。某些物種係本質上比其他者更「黏」,使得每一次該物種撞擊表面時,其更可能吸附在表面之上。這些較黏的物種具有較大的黏附係數(所有其他因素係相同),且與具有較低黏附係數之黏性較低的物種相比較,係更可能在凹陷特徵部的入口附近黏附。諸如在傳統蝕刻製程中使用的那些氟碳物種(且可形成第一側壁塗層304),具有相對高的黏附係數,且因此在其第一次撞擊側壁之處接近特徵部302的頂部變得集中。藉由比較,具有較低黏附係數的物種,即使它們撞擊接近側壁之頂部的表面,於每次撞擊期間係較不可能吸附,且因而具有較高機率到達特徵部302的底部部分。
在某些實施例中,含矽反應物及含硼反應物係用以形成第二側壁塗層310,且比形成第一側壁塗層304的氟碳化物物種具有較低的黏附係數。因此,這些反應物係適合形成到達蝕刻的特徵部之底部的保護性塗層。此外,基於吸附的ALD方法係特別適合用於形成到達蝕刻的特徵部之底部的第二側壁塗層,因為反應物可加以遞送直到其實質上塗佈特徵部的整個側壁。反應物不會在特徵部的頂部附近堆積,因為通常只有單層的反應物於各個循環期間吸附在表面之上。
返回至圖2,方法於操作207繼續,其中沉積製程係加以停止。該方法接著重複下列操作:部分地蝕刻基板中的特徵部(操作211,類似於操作201);停止蝕刻(操作213,類似於操作203);在部分蝕刻的特徵部之側壁上沉積保護性塗層(操作215,類似於操作205);並停止沉積(操作217,類似於操作207)。接著,在操作219,決定特徵部是否係完全蝕刻。若特徵部係未完全蝕刻,則該方法從操作211重覆,進行額外的蝕刻及保護性塗層之沉積。蝕刻操作211可改變第二側壁塗層310以形成一膜,該膜係甚至比在操作205及215沉積的膜更耐蝕刻。在一例子中,沉積操作205形成一層氮化硼(例如:藉由交替循環BCl3 和N2 +H2 及曝露於電漿),且蝕刻操作211將該氮化硼膜反應以形成氧化硼(例如:使用具有氟碳化物及氧之組合的化學品)。一旦完全蝕刻特徵部,則該方法係完成的。
在各種實施例中,蝕刻操作201及保護性側壁塗層沉積操作205係循環地重複數次。例如:這些操作可各自發生至少兩次(如圖2所示),例如至少約3次,或至少約5次。在一些情況下,循環的數目係在約2-10之間,例如在約2-5之間(各個循環包含蝕刻操作201及保護性側壁塗層沉積操作205,其中蝕刻操作211及沉積操作215算作第二循環)。每次蝕刻操作發生時,蝕刻深度增加。蝕刻的距離在循環之間可為均勻的,或其可為不均勻的。在某些實施例中,在各個循環中蝕刻的距離隨著執行額外蝕刻而減少(即,較晚執行的蝕刻操作可比較早執行的蝕刻操作較不廣泛地蝕刻)。在各個沉積操作205中沉積之第二側壁塗層310的厚度在循環之間可為均勻的,或此等塗層的厚度可加以改變。在各個循環期間第二側壁塗層310示例厚度的範圍可在約1-10 nm之間,例如在約3-5 nm之間。此外,形成之塗層的類型在循環之間可為均勻的,或其可加以改變。在一例子中,氮化硼側壁塗層係於操作205的第一循環期間加以形成,且氧化硼側壁塗層係於操作205的第二循環期間加以形成。
蝕刻操作201及沉積操作205可發生在相同的反應腔室中或在不同的反應腔室中。在一例子中,蝕刻操作201發生在第一反應腔室中,而沉積操作205發生在第二反應腔室中,其中該第一及第二反應腔室一起形成一多腔室處理設備,諸如群組工具。裝載鎖定部及其他適當的真空密封件可加以提供,用於在某些情況下在相關的腔室之間轉移基板。基板可藉由機器人手臂或其他機械結構加以轉移。用於蝕刻的反應腔室可為Flex™反應腔室,例如:由Lam Research Corporation of Fremont, CA市售的2300® Flex™產品家族。用於沉積的反應腔室可為來自Vector® 產品家族或Altus® 產品家族的腔室,皆由Lam Research Corporation市售。用於蝕刻及沉積兩者之結合的反應器之使用在某些實施例中可為有利的,因為轉移基板的需求係加以避免。用於蝕刻及沉積之不同反應器的使用在其他實施例中可為有利的,其中期望反應器針對各個操作係特別最佳化的。相關的反應腔室係在下面進一步討論。
如上所述,沉積操作藉由形成深深穿透的保護層幫助將蝕刻操作最佳化,該保護層於蝕刻操作期間最小化特徵部的橫向蝕刻或避免特徵部的橫向蝕刻。此促進蝕刻的特徵部之形成,該蝕刻的特徵部具有非常垂直的側壁,幾乎沒有或沒有弓形部。在某些實施方式中,具有至少約80之深寬比的最終蝕刻特徵部具有小於約60%的弓形部(以(最寬臨界尺寸 - 弓形部上方最窄臨界尺寸)/弓形部上方最窄的臨界尺寸*100加以測量)。例如:具有最寬CD 50 nm及最窄CD 40 nm的特徵部(在特徵部中,40 nm的CD係位在50 nm的CD之上)具有25%(100*(50 nm - 40 nm)/40 nm = 25%)的弓形部。在另一實施方式中,具有至少約40之深寬比的最終蝕刻特徵部具有小於約20%的弓形部。   IV.   製程操作的材料及參數 A.      基板
此處揭示的方法對於蝕刻具有介電材料於其上的半導體基板係特別有用。示例介電材料包含矽氧化物、矽氮化物、矽碳化物、氮氧化物、碳氧化物、碳氮化物、這些材料的摻雜變型(例如摻雜硼、磷等)、及來自這些材料之任意組合的積層物。特定示例材料包含SiO2 、SiN、SiON、SiOC、SiCN等的化學計量及非化學計量製劑。如上所述,被蝕刻的介電材料可包含多於一種類型/層的材料。在特殊的情況下,介電材料可以SiN及SiO2 之交替的層或多晶矽及SiO2 交替的層加以提供。進一步的細節已於上述提供。基板可具有覆蓋遮罩層,該覆蓋遮罩層定義特徵部係加以蝕刻的地方。在某些情況下,遮罩層為Si,且其可能具有在約500-1500 nm之間的厚度。 B.       蝕刻製程
在各種實施例中,蝕刻製程係反應性離子蝕刻製程,其包含將化學蝕刻劑流進反應腔室(通常經由噴淋頭),從蝕刻劑(以及其它者)產生電漿,且將基板曝露於電漿。電漿將蝕刻劑化合物解離成中性物種及離子物種(例如帶電荷或中性的材料,諸如CF、CF2 及CF3 )。在許多情況下,電漿係電容式耦合電漿,雖然其他類型的電漿可適當地加以使用。電漿中的離子係朝向晶圓並導致介電材料在撞擊時被蝕刻掉。
可用以執行蝕刻製程的示例設備包括由Lam Research Corporation of Fremont, CA市售之反應性離子蝕刻反應器的2300® FLEX™產品家族。此類型的蝕刻反應器係在下列美國專利中進一步加以描述:美國專利第8,552,334號及美國專利第6,841,943號,其中的每一者全部內容於此藉由參照納入本案揭示內容。
有各種反應物的選項係能夠將特徵部蝕刻進入介電材料。在某些情況下,蝕刻化學品包含一或多種氟碳化物。在這些或其他的情況下,蝕刻化學品可包含諸如NF3 的其他蝕刻劑。一或多種共反應物亦可加以提供。在一些情況下,氧(O2 )係提供作為共反應物。氧可幫助保護性聚合物側壁塗層的適度形成(例如:圖3A-3D的第一側壁塗層304)。
在某些實施方式中,蝕刻化學品包含氟碳化物及氧的組合。例如:在一例子中,蝕刻化學品包含C4 F6 、C4 F8 、N2 、CO、CF4 、及O2 。亦可使用其他傳統蝕刻化學品,及可能為非傳統的化學品。氟碳化物可以在約0-500 sccm之間的速率流動,例如:在約10-200 sccm之間。當使用C4 F6 及C4 F8 時,C4 F6 的流量範圍可在約10-200 sccm之間,及C4 F8 的流量範圍可在約10-200 sccm之間。氧的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。氮的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。四氟甲烷的流量範圍可在約0-500 sccm之間,例如:在約10-200 sccm之間。一氧化碳的流量範圍可在約0-500 sccm的之間,例如:在約10-200 sccm之間。這些速率在大約50公升的反應器體積中係合適的。
在一些實施例中,於蝕刻期間的基板溫度係在約0-100o C之間。在一些實施例中,於蝕刻期間的壓力係在約10-50毫托之間。離子能量可為相對地高,例如:在約1-10 kV之間。離子能量係由施加的RF功率加以決定。在各種情況下,雙頻RF功率係用以產生電漿。因此,RF功率可包含第一頻率分量(例如約2 MHz)及第二頻率分量(例如約60 MHz)。不同的功率可在各個頻率分量加以提供。例如:第一頻率分量(例如約2 MHz)可以在約3-6 kW之間的功率加以提供,例如約5 kW,而第二頻率分量(例如約60 MHz)可以較低的功率加以提供,例如在約0.5-2 kW之間,例如約1 kW。這些功率位準假定RF功率係遞送至單一的300 mm晶圓。功率位準可基於額外基板的基板面積及/或其他尺寸的基板加以線性縮放(從而維持遞送至基板的均勻功率密度)。
蝕刻製程的每一循環蝕刻介電材料到某種程度。於各個循環期間蝕刻的距離可在約10-500 nm之間,例如在約50-200 nm之間。總蝕刻深度將取決於特殊應用。對於一些情況(例如DRAM),總蝕刻深度可在約1.5-2 µm之間。對於其他情況(例如VNAND),總蝕刻深度可為至少約3 µm,例如至少約4 µm。在這些或其他的情況下,總蝕刻深度可為約5 µm或更少。
如在圖3A-3D的討論中說明,蝕刻製程可產生第一側壁塗層(例如:第一側壁塗層304,其可為聚合的)。然而,此側壁塗層的深度可能限於靠近特徵部上部部分的區域,且可能不一直向下延伸深入特徵部亦需要側壁保護之處。因此,執行如此處描述之獨立的沉積操作以形成側壁塗層,該側壁塗層實質上覆蓋蝕刻的特徵部之整個深度。
在一些製程中,沉積保護性側壁塗層的操作(例如:在圖3C及3D中的第二側壁塗層310)導致第一類型的膜之沉積,且蝕刻操作改變此第一類型的膜以形成第二類型的膜。第二類型的膜可能比第一類型的膜更耐蝕刻。例如:沉積操作可包含氮化硼(BN)膜的形成,其係接著於蝕刻操作期間被處理成氧化硼(BO)膜。在蝕刻化學品中包含氧可至少部分地驅動此變化。氧化硼膜可特別地耐受蝕刻,從而針對防止過度蝕刻側壁提供非常好的保護。 C.       沉積製程
執行沉積製程主要用以在蝕刻的特徵部之內在側壁上沉積保護層。此保護層即使在高深寬比的特徵部中,應延伸進入特徵部深處。在高深寬比特徵部之內深處保護層的形成可藉由具有相對低黏附係數的反應物達成。此外,依賴吸附限制沉積(例如ALD反應)的反應機制可促進在蝕刻的特徵部內部深處之保護層的形成。保護層的沉積始於部分蝕刻特徵部之後。如在圖2的討論中所述,沉積操作可與蝕刻操作一起循環進行以當特徵部係較深地蝕刻進入介電材料時形成額外的側壁保護。在一些情況下,保護層的沉積始於特徵部係被蝕刻至其最終深度的至少1/3之時或之後。在一些實施例中,保護層的沉積始於一旦特徵部達到至少約2、至少約5、至少約10、至少約15、至少約20、或至少約30的深寬比。在這些或其他的情況下,沉積可始於特徵部達到約4、約10、約15、約20、約30、約40、或約50的深寬比之前。在一些實施例中,沉積始於特徵部係至少約1 µm深、或至少約1.5 µm深之後(例如:在VNAND的實施例中,其中最終特徵部深度係3-4 µm)。在其他的實施例中,沉積始於特徵部係至少約600 nm深、或至少約800 nm深之後(例如:在DRAM的實施例中,其中最終特徵部深度係1.5-2 µm深)。用於起始保護層之沉積的最佳時間係緊接在若不進行側壁將變得被過度蝕刻而形成弓形部之前。此事件發生的確切時間取決於被蝕刻之特徵部的形狀、被蝕刻的材料、用以蝕刻及沉積保護層的化學品、及用以蝕刻及沉積相關材料的製程條件。
於沉積製程期間形成的保護層可具有各種組成物。如之前所述,保護層應深穿進入蝕刻的特徵部,且應相對地耐受用以蝕刻特徵部的蝕刻化學品。在一些情況下,保護層係陶瓷材料或有機聚合物。示例無機材料可包含但不限於含硼材料(諸如硼氧化物(Bx Oy )及硼氮化物(Bx Ny )的化學計量或非化學計量製劑。其他例子包括含矽材料(諸如矽氧化物(Six Oy )及矽氮化物(Six Ny )的化學計量或非化學計量製劑。在一些情況下,示例有機材料可包含聚烯烴,例如聚氟烯烴。一個特殊的例子係聚四氟乙烯。用於形成一些聚氟烯烴的前驅物片段係CF2 (在某些情況下,其可來自六氟環氧丙烷(HFPO)),其具有非常低的黏附係數且係因而擅於深穿進入蝕刻的特徵部。其他例子可包括硼碳化物或矽碳化物的化學計量及非化學計量製劑。在進一步的實施例中,於沉積製程期間形成的保護層可為金屬氧化物、金屬氮化物、或金屬碳化物。
在保護層包括硼的情況下,含硼反應物可加以使用。示例含硼反應物包括但不限於硼酸三異丙酯([(CH3 )2 CHO]3 B)、三甲基硼-d9 (B(CD3 )3 )、三苯硼烷((C6 H5 )3 B)、及三(五氟苯基)硼烷((C6 F5 )3 B)。其他含硼反應物的例子包括三氯化硼(BCl3 )、硼烷(BH3 )、二硼烷(B2 H6 )、三氟化硼(BF3 )、及硼酸三甲酯(B(OCH3 )3 )。在一特殊的例子中,含硼反應物係選自由B2 H6 、BCl3 、BF3 、以及其組合組成的群組。循環的ALD或類ALD沉積反應可沉積含硼保護層。或者,非循環的製程(諸如主體CVD沉積)可沉積含硼保護層。
在保護層包括矽的情況下,含矽反應物可加以使用。含矽反應物可為例如矽烷、鹵矽烷或胺基矽烷。矽烷包含氫及/或碳基團,但不包含鹵素。矽烷的例子為矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷(諸如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。鹵矽烷包含至少一鹵素基團,及可或可不包含氫及/或碳基團。鹵矽烷的例子為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其氟矽烷)可形成可蝕刻矽材料的反應性鹵化物物種,但在此處說明的一些實施例中,當點燃電漿時含矽反應物係不存在。具體的氯矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。一個具體的溴矽烷為SiBr4 。胺基矽烷包括與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷的例子係一、二、三、及四胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及取代的一、二、三、及四胺基矽烷,例如:叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 ,BTBAS)、叔丁基矽基胺甲酸酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。胺基矽烷之進一步的例子為三矽基胺(N(SiH3 )3 )。在一個特殊的例子中,含矽反應物係選自由SiCl4 、SiH4 、SiF4 、SiBr4 、及其組合組成的群組。循環的ALD或類ALD沉積反應可沉積含矽保護層。或者,非循環製程(諸如主體CVD沉積)可沉積含矽保護層。在某些實施例中,含矽前驅物係與氧化劑(諸如一氧化二氮及/或分子氧)反應,以產生氧化矽保護性塗層。
在保護性膜包括氮(例如:氮化矽、氮氧化矽、或氮化硼)的情況下,含氮反應物可加以使用。含氮反應物包含至少一個氮,例如:氮、氨、聯胺、胺類(例如帶有碳的胺類),諸如:甲基胺、二甲基胺、乙基胺、異丙基胺、叔丁基胺、二叔丁基胺、環丙基胺、二級丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二叔丁基聯胺,以及含芳香族的胺類(諸如苯胺、吡啶、及苯甲胺)。胺類可為一級、二級、三級、或四級(例如:四烷基銨化合物)。含氮反應物可包含除了氮以外的雜原子,例如:羥胺、叔丁氧羰基胺、及N-叔丁基羥基胺係含氮反應物。另一個例子係一氧化二碳。
在保護性膜包括氧(例如氧化矽、氧化硼、或金屬氧化物)的情況下,含氧反應物可加以使用。含氧反應物的例子包含但不限於氧、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、氧化硫、二氧化硫、含氧烴(Cx Hy Oz )、水、其混合物等。所揭示的前驅物係非意圖為限定性的。
當保護性塗層包含有機聚合物時,可使用低黏附係數的前驅物。此類前驅物的例子包含產生CF2 片段的前驅物。
亦可使用此技術領域具有通常知識者所熟知的其他反應物。例如:在保護性膜包括金屬的情況下,含金屬反應物可加以使用,且在保護性膜包括碳的情況下,含碳反應物可加以使用。
反應物組合之幾個特殊的例子將加以提供,儘管這些例子係非意圖為限定性的。在一例子中,含硼的前驅物膜係藉由將含硼反應物(諸如B2 H6 、BCl3 或BF3 )吸附在基板表面上而加以形成。前驅物膜係藉由曝露於氧化或氮化的電漿(例如:從O2 、N2 、NH3 、N2 O、H2 、及其組合產生的電漿)而轉變成保護性膜。
在一特殊的例子中,BCl3 係被吸附以形成含硼的前驅物層,且接著電漿係從N2 及H2 的組合加以產生,其驅動氮化硼保護性膜的形成。該反應可經由循環的製程(諸如ALD)而發生。在相似的例子中,反應可經由連續的製程(諸如CVD)而發生,其中當基板係曝露於電漿時,BCl3 、N2 及H2 係全部同時供應。在氮化硼膜的形成之後,基板可進一步加以蝕刻。蝕刻化學品可包含氧(除了其他蝕刻化學品之外,例如:諸如C4 F6 及/或C4 F8 的氟碳化物),其可與氮化硼膜反應以形成氧化硼。氧化硼係特別耐受基於氟碳化物的蝕刻化學品,從而提供防止過度蝕刻側壁的良好保護。
在另一例子中,含矽物種(例如SiCl4 、SiH4 、SiF4 、SiBr4 等)係吸附在基板的表面之上以形成含矽前驅物膜。該含矽前驅物膜可藉由將其曝露於從O2 、N2 、NH3 、N2 O、H2 、及其組合產生的電漿而轉變為氧化矽或氮化矽。若被蝕刻的介電材料包含氧化矽,則其可較佳形成如氮化矽的保護層(反之亦然)。
如上所述,用以形成保護層的前驅物可具有相對低的黏附係數,從而使前驅物能夠深穿進入蝕刻的特徵部。在一些情況下,前驅物的黏附係數(在相關的沉積條件下)可為約0.05或更小,例如:約0.001或更小。
反應機制可為循環的(例如ALD)或連續的(例如CVD)。任何導致保護性側壁膜以高深寬比形成之方法可加以使用。如上所述,ALD反應由於其保形性及吸附限制的性質可特別地適合此目的。然而,其他類型的反應可加以使用,只要膜係能夠以高深寬比形成以保護在蝕刻的特徵部中深處的側壁。用於ALD及CVD反應的基本操作係關於圖2的操作205描述於上。簡言之,電漿輔助的ALD反應包含循環地執行下列操作:(a)第一反應物的遞送操作以形成吸附的前驅物層,(b)選用性的沖洗操作以從反應腔室移除第一反應物,(c)第二反應物的遞送,通常以電漿的形式加以供應,(d)選用性的沖洗以移除過量的反應物,及(e)重複(a)-(d)直到膜到達期望的厚度。因為反應物係在不同的時間加以提供且反應係表面反應,所以膜係吸附限制的。此造成非常保形的膜之形成,該非常保形的膜可襯裡全部凹陷的特徵部。相對地,電漿輔助的CVD反應包含當基板係曝露於電漿時連續地遞送反應物至基板之操作。CVD反應係氣相反應,其在基板表面上沉積反應產物。
下列反應條件可在某些實施例中加以使用,其中沉積反應藉由ALD方法發生。基板溫度可維持在約0-500o C之間,例如在約20-200o C之間。壓力可維持低至約100或200毫托及高至約1、2、或3托。離子能量可為相對地低,例如低於約1 kV。用以產生電漿的RF頻率可為約60 MHz,雖然亦可使用其他頻率。RF功率可為幾百瓦,例如約500 W或更少、約400 W或更少、或約300 W或更少(假設功率係遞送至單一300 mm的晶圓,功率基於額外或不同尺寸基板的基板面積線性縮放)。在每個ALD循環期間,吸附的反應物可以在約50-1000 sccm之間的流率加以遞送在約0.5-20秒之間的持續時間。第一沖洗可具有在約0-60秒之間的持續時間。基板可曝露於電漿在約0.5-120秒之間的持續時間,其中反應物(不包括與反應物一起提供的任何惰性氣體)的流率在約50-1000 sccm之間。於電漿曝露期間,氫的流率可在約0-1000 sccm之間。RF後沖洗可具有在約0-60秒之間的持續時間。
下列反應條件可在某些實施例中加以使用,其中沉積反應藉由CVD方法發生。基板溫度可維持在約0-500o C之間,例如在約20-200o C之間。壓力可維持在約100-3000 mT之間。用以產生電漿的RF頻率可為2-60 MHz。用以產生電漿的RF功率可在約50-2000 W之間,例如在約100-800 W之間(假設單一300 mm的基板)。反應物遞送及電漿曝露的持續時間可在約1-180秒之間。流率取決於特殊的反應物。在一例子中,BCl3 、N2 及H2 係加以提供,其中BCl3 以在約50-1000 sccm之間的流率加以提供,N2 以在約50-1000 sccm之間的流率加以提供,及H2 以在約50-1000 sccm之間的流率加以提供。ALD及CVD反應條件係提供作為導引,且係非意圖為限定性的。   V.      設備
此處描述的方法可藉由任何合適的設備或設備的組合加以執行。合適的設備包含用於實現製程操作的硬體,及具有用於根據本發明控制製程操作之指令的系統控制器。例如:在一些實施例中,硬體可包括包含在處理工具中的一或多個處理工作站。一個處理工作站可為蝕刻工作站,而另一個處理工作站可為沉積工作站。在另一實施例中,蝕刻及沉積發生在單一的工作站/腔室中。
圖4A-4C說明可調間隙電容耦合式限制RF電漿反應器400的實施例,該RF電漿反應器400可用於執行此處描述的蝕刻操作。如圖所示,真空腔室402包含腔室外罩404,該腔室外罩404圍繞容納下電極406的內部空間。在腔室402的上部分中,上電極408係與下電極406垂直隔開。上及下電極408、406的平坦表面係實質上平行且與電極之間的垂直方向正交。較佳是,上及下電極408、406呈圓形且相對於一垂直軸為共軸。上電極408的下表面面對下電極406的上表面。隔開之相向的該等電極的表面定義其間的可調間隙410。於操作期間,下電極406係由RF功率供應器(匹配器)420供應RF功率。RF功率係經由RF供應導管422、RF帶424及RF功率構件426供應至下電極406。接地屏蔽436可圍繞RF功率構件426以提供更均勻的RF場至下電極406。如共同擁有的美國專利第7,732,728號所說明,其全部內容於此藉由參照納入本案揭示內容,晶圓係經由晶圓埠482插入並在下電極406上的間隙410中加以支撐以進行處理,處理氣體係供應至間隙410並藉由RF功率激發成電漿態。上電極408可加以供電或接地。
在顯示於圖4A-4C的實施例中,下電極406係在下電極支撐板416上加以支撐。在下電極406及下電極支撐板416之間插入的絕緣環414將下電極406與支撐板416絕緣。
RF偏壓外罩430在RF偏壓外罩碗432上支撐下電極406。藉由RF偏壓外罩430之一臂434,碗432係經由在腔室壁板418中的開口連接至導管支撐板438。在一較佳的實施例中,RF偏壓外罩碗432及RF偏壓外罩臂434係整合形成為一個元件,然而,臂434及碗432亦可為被栓綁或連結在一起之兩個獨立的元件。
RF偏壓外罩臂434包含一或多個中空通路,用於在下電極406之背側上的空間將RF功率及設施(諸如氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制之電纜、電力監控或致動信號)從真空腔室402的外部傳送至真空腔室402的內部。RF供應導管422係與RF偏壓外罩臂434絕緣,RF偏壓外罩臂434提供一RF功率之返回路徑至RF功率供應器420。設施導管440提供設施元件的通道。該設施元件之進一步的細節係在美國專利第5,948,704號及第7,732,728號中加以描述,而為了說明之簡要在此將不顯示。間隙410係較佳地由限制環組件或覆緣(未顯示)加以圍繞,其細節可在共同擁有之公開的美國專利第7,740,736號中尋得,其藉由參照納入本案揭示內容。真空腔室402的內部係藉由經由真空入口480連接至真空幫浦而維持在低壓。
導管支撐板438係附接於一致動機構442。致動機構的細節係在共同擁有的美國專利第7,732,728號中加以說明,其於此納入本案揭示內容。致動機構442(諸如伺服機械馬達、步進馬達等)係附接於一垂直線性軸承444,例如藉由螺旋齒輪446(諸如滾珠螺桿及用於轉動滾珠螺桿的馬達)加以附接。在調節間隙410之大小之操作的期間,致動機構442沿著垂直線性軸承444移動。圖4A說明當致動機構442係在線性軸承444上的高位置時之配置,其導致一小間隙410a。圖4B說明當致動機構442係在線性軸承444上的中央位置時之配置。如圖所示,下電極406、RF偏壓外罩430、導管支撐板438、RF功率供應器420皆相對於腔室外罩404及上電極408向較低處移動,導致一中等大小的間隙410b。
圖4C說明當致動機構442係在線性軸承上之低位置時的大間隙410c。較佳是,在間隙調整期間,上及下電極408、406保持共軸,且上及下電極的相對表面在整個間隙保持平行。
例如,為了維持整個大直徑基板(諸如300 mm晶圓或平板顯示器)的均勻蝕刻,此實施例允許在CCP腔室402中之下及上電極406、408之間的間隙410在多步驟製程配方(BARC、HARC、及STRIP等)期間可加以調整。特別是,此腔室屬於一機械配置,其允許用以提供在下及上電極406、408之間之可調間隙所需的線性移動。
圖4A說明橫向偏斜伸縮囊450,該伸縮囊450在近端處密封至導管支撐板438且在遠端處密封至腔室壁板418之階梯凸緣428。階梯凸緣的內徑定義腔室壁板418中的開口412,RF偏壓外罩臂434通過該開口412。伸縮囊450的遠端係由夾圈452加以夾緊。
當允許RF偏壓外罩430、導管支撐板438及致動機構442的垂直移動時,橫向偏斜伸縮囊450提供一真空密封部。該RF偏壓外罩430、導管支撐板438及致動機構442可視為懸臂組件。較佳是,RF功率供應器420與懸臂組件一起移動,並可附接於導管支撐板438。圖4B顯示當懸臂組件係在中央位置時,伸縮囊450在中間位置。圖4C顯示當懸臂組件係在低位置時,伸縮囊450橫向偏斜。
曲徑軸封448在伸縮囊450及電漿處理腔室外罩404的內部之間提供粒子阻隔。固定屏蔽456係在腔室壁板418處不可移動地附接於腔室外罩404的內部內壁,以便提供曲徑溝槽460(開槽),在其中一可移動屏蔽板458垂直地移動以適應懸臂組件的垂直移動。可移動屏蔽板458之外部分保持在下電極406之所有垂直位置的開槽中。
在顯示的實施例中,曲徑軸封448包含固定屏蔽456,該固定屏蔽456在腔室壁板418中之開口412的周緣附接於腔室壁板418的內部表面,定義曲徑溝槽460。可移動屏蔽板458係加以附接並自RF偏壓外罩臂434放射狀延伸,其中臂434通過在腔室壁板418中的開口412。可移動屏蔽板458延伸進入曲徑溝槽460,且同時與固定屏蔽456間隔第一間隙,及與腔室壁板418的內部表面間隔第二間隙,而允許懸臂組件垂直地移動。曲徑軸封448阻擋自伸縮囊450剝落之粒子遷移進入真空腔室內部405,並阻擋來自處理氣體電漿的自由基遷移至伸縮囊450,於該處該等自由基可能形成隨後剝落之沉積物。
圖4A顯示當懸臂組件係在高位置(小間隙410a)時,可移動屏蔽板458在RF偏壓外罩臂434之上之曲徑溝槽460中的高位置。圖4C顯示當懸臂組件係在低位置(大間隙410c)時,可移動屏蔽板458在RF偏壓外罩臂434之上之曲徑溝槽460中的較低位置。圖4B顯示當懸臂組件係在中央位置(中等間隙410b)時,可移動屏蔽板458在曲徑溝槽460之內的中間或中央位置。雖然曲徑軸封448係顯示為相對於RF偏壓外罩臂434對稱,但在其他的實施例中曲徑軸封448可相對於RF偏壓臂434不對稱。
圖5提供描繪各種反應器元件的簡易方塊圖,該等各種反應器元件係配置用於實施此處描述的沉積方法。如圖所示,反應器500包含處理腔室524,該處理腔室524將反應器的其他元件包圍並用於容納由電容放電式系統產生的電漿,該電容放電式系統包含與接地的加熱器塊520結合運作的噴淋頭514。高頻(HF)射頻(RF)產生器504及低頻(LF)RF產生器502可連接至匹配網路506及噴淋頭514。由匹配網路506供應的功率及頻率可足以從供應至處理腔室524的處理氣體產生電漿。例如:匹配網路506可提供50 W至500 W的HFRF功率。在一些例子中,匹配網路506可提供100 W至5000 W的HFRF功率及100 W至5000 W的LFRF功率總能量。在典型的製程中,HFRF元件通常可在5 MHz至60 MHz之間,例如:13.56 MHz。在其中有LF元件的操作中,LF元件可從約100 kHz至2 MHz,例如:430 kHz。
在反應器之內,晶圓底座518可支撐基板516。該晶圓底座518可包含夾盤、叉、或升降銷(未顯示),以在沉積及/或電漿處理反應期間及之間夾持及傳送基板。該夾盤可為靜電夾盤、機械夾盤、或各種可用於工業上的使用及/或用於研究之其他類型的夾盤。
各種處理氣體可經由入口512加以引入。多個來源氣體管線510係連接至歧管508。該等氣體可或可不預先混和。適當的閥調節及質流控制機構可加以使用,以確保在製程的沉積及電漿處理階段期間正確的處理氣體係加以遞送。在化學前驅物係以液體形式遞送的情況下,可使用液體流控制機構。於到達沉積腔室之前在被加熱至高於以液體形式供應的化學前驅物之汽化點的歧管中的輸送期間,此等液體可接著加以汽化並與處理氣體混合。
處理氣體可經由出口522離開腔室524。真空幫浦540(例如:一或二階段的機械乾式幫浦及/或渦輪分子幫浦)可用以將處理氣體自處理腔室524汲取出來,並藉由使用閉迴路控制的流量限制裝置(諸如節流閥或擺閥)以維持處理腔室524之內的適當低壓。
如上所討論,此處討論之用於沉積的技術可在多工作站式或單一工作站式的工具上加以實施。在特定的實施方式中,可使用具有4工作站式沉積方案之300 mm的Lam VectorTM 工具或具有6工作站式沉積方案之200 mm的SequelTM 工具。在一些實施方式中,可使用用於處理450 mm晶圓的工具。在各種實施方式中,晶圓可在每個沉積及/或後沉積電漿處理之後加以分度,或若蝕刻腔室或工作站亦係相同工具的一部分時可在蝕刻操作之後加以分度,或在分度晶圓之前可在單一工作站進行多個沉積及處理。
在一些實施例中,可提供一種設備,其係建構成執行此處描述的技術。合適的設備可包含用於執行各種製程操作的硬體,以及具有根據所揭示的實施例用於控制製程操作之指令的系統控制器530。系統控制器530一般包含一或多個記憶體裝置及一或多個處理器,其係與各種處理控制設備(例如閥、RF產生器、晶圓搬運系統等)通訊連接並用以執行指令,使得該設備將根據所揭示的實施例執行技術。機器可讀媒體可耦接至系統控制器530,該機器可讀媒體包含用於根據本揭示內容控制製程操作的指令。系統控制器530可與各種硬體裝置(例如質流控制器、閥、RF產生器、真空幫浦等)通訊連接,以促進各種關於如此處描述之沉積操作的製程參數之控制。
在一些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行系統控制軟體,該系統控制軟體在大量儲存裝置中加以儲存、加載至記憶體裝置、及在處理器上加以執行。系統控制軟體可包含控制下述的指令:氣體流的時序、晶圓移動、RF產生器活化等,以及控制下述的指令:氣體的混合物、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、夾盤、及/或基座位置、及由反應器設備500執行之特殊製程的其他參數。系統控制軟體可以任何合適的方式加以配置。例如:各種處理工具元件的副程式(subroutine)或控制物件可被撰寫,以控制執行各種處理工具製程必須之處理工具元件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
系統控制器530一般可包含一或多個記憶體裝置及一或多個處理器,配置以執行指令使得該設備將根據本揭示內容執行技術。機器可讀媒體可耦接至系統控制器530,該機器可讀媒體包含用於根據所揭示的實施例控制製程操作的指令。
一或多個處理工作站可被包含在多工作站式處理工具中。圖6顯示多工作站式處理工具600之實施例的示意圖,該多工作站式處理工具600具有入站裝載鎖定部602及出站裝載鎖定部604,其中的一者或兩者可包含遠程電漿源。處於大氣壓力下的機器人606係配置以將晶圓從卡匣(經由晶圓傳送盒(pod)608裝載)、通過大氣埠610而移動到入站裝載鎖定部602中。晶圓係由機器人606放置在入站裝載鎖定部602中的底座612上,將大氣埠610關閉,並將裝載鎖定部抽真空。若入站裝載鎖定部602包含遠端電漿源,則晶圓在被引入處理腔室614之前,可在裝載鎖定部中曝露於遠程電漿處理。此外,基板亦可同樣在入站裝載鎖定部602中被加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室614的腔室傳送埠616,且另一機器人(未顯示)將晶圓放進第一工作站(顯示於反應器中)之底座上的反應器中進行處理。雖然描繪的實施例包含裝載鎖定部,但可理解在一些實施例中,晶圓進入處理工作站的直接通道可加以設置。
在圖6顯示的實施例中,描繪的處理腔室614包含四個處理工作站(編號從1到4)。各個工作站具有加熱的底座(對於工作站1顯示為618)及氣體管線入口。可理解在一些實施例中,各個處理工作站可具有不同或多個用途。例如:處理工作站1-4的各者可為用於執行ALD、CVD、CFD、或蝕刻(上述任何一者可為電漿輔助)之其中一或多者的腔室。在一實施例中,該等處理工作站的其中至少一者係沉積工作站,其具有如圖5中顯示的反應腔室,且其他處理工作站的其中至少一者係蝕刻工作站,其具有如圖4A-4C中顯示的反應腔室。雖然描繪的處理腔室614包含四個工作站,但應理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。例如:在一些實施例中,處理腔室可具有五個或更多的工作站,而在其他的實施例中,處理腔室可具有三個或更少的工作站。
圖6亦描繪晶圓搬運系統609的實施例,其在處理腔室614之內傳送晶圓。在一些實施例中,晶圓搬運系統609可在各種處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。可理解任何適當的晶圓搬運系統可加以使用。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖6亦描繪系統控制器650的一個實施例,該系統控制器650用於控制處理工具600的製程條件及硬體狀態。系統控制器650可包含一或多個記憶體裝置656、一或多個大量儲存裝置654、及一或多個處理器652。處理器652可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他傳送工具及/或與特定系統連接或介接的裝載鎖定部之晶圓傳送。
廣義地說,控制器可定義為電子設備,具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個處理操作。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理操作,或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理操作的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程操作,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
在某些實施例中,控制器具有執行圖2顯示及描述之操作的指令。例如:控制器可具有循環下述步驟的指令:(a)執行蝕刻操作,以在基板上部分地蝕刻特徵部,及(b)在蝕刻的特徵部上沉積保護性側壁塗層而基本上沒有蝕刻基板。該等指令可關於使用所揭示的反應條件執行這些處理。在一些實施方式中,該等指令亦可關於在蝕刻及沉積腔室之間傳送基板。
返回圖6的實施例,在一些實施例中,系統控制器650控制處理工具600的所有活動。系統控制器650執行系統控制軟體658,該系統控制軟體658在大量儲存裝置654中加以儲存,加載至記憶體裝置656,並在處理器652上加以執行。或者,控制邏輯可在系統控制器650中加以硬編碼。用於此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如:場可程式化閘陣列、或FPGA)等。在下列討論中,無論何處使用「軟體」或「程式碼」,可使用功能性相當的硬編碼邏輯來取代。系統控制軟體658可包含用於控制下述的指令:時序、氣體的混合、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、RF曝露時間、基板底座、夾盤、及/或基座的位置、及由處理工具600執行之特殊製程的其他參數。系統控制軟體658可以任何合適的方式加以配置。例如:各種處理工具元件的副程式或控制物件可被撰寫,以控制執行各種處理工具製程必須之處理工具元件的操作。系統控制軟體658可以任何合適的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體658可包含輸入/輸出控制(IOC)順序指令,用於控制上述各種參數。例如:CFD製程的各個階段可包含由系統控制器650執行的一或多個指令。對於ALD製程階段用於設定製程條件之指令可被包含在相對應的ALD配方階段中。在一些實施例中,ALD配方階段可依序排列,使得用於ALD製程階段的所有指令係與該製程階段同時執行。
儲存在與系統控制器650相關聯之大量儲存裝置654及/或記憶體裝置656中的其他電腦軟體及/或程式,在一些實施例中可加以使用。用於此目的之程式或程式區段的例子包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載到底座618之上,及控制在基板與處理工具600的其他部分之間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分及流率,及選用性地在沉積之前用於將氣體流進一或多個處理工作站,以使處理工作站內的氣壓穩定。在一些實施例中,控制器包含指令,用於在核心層上沉積奈米積層保護層,及在保護層上沉積保形層。
壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。在一些實施例中,控制器包含指令,用於在核心層上沉積奈米積層保護層,及在保護層上沉積保形層。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制將熱轉移氣體(諸如氦氣)遞送至基板。在某些實施方式中,控制器包含指令,用於以第一溫度沉積奈米積層保護層,及以第二溫度在保護層上沉積保形層,其中該第二溫度係高於該第一溫度。
電漿控制程式可包含程式碼,用於根據此處實施例在一或多個處理工作站中設定RF功率位準及曝露時間。在一些實施例中,控制器包含指令,用於以第一RF功率位準及RF持續時間沉積奈米積層保護層,及以第二RF功率位準及RF持續時間在保護層上沉積保形層。該第二RF功率位準及/或第二RF持續時間可高於/長於該第一RF功率位準/持續時間。
在一些實施例中,可能有與系統控制器650相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器650調整的參數可能涉及製程條件。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準及曝露時間)等。這些參數可以配方的形式提供給使用者,其可利用使用者界面加以輸入。
用於監控製程的訊號可從各種處理工具感測器由系統控制器650的類比及/或數位輸入連接加以提供。控制製程的訊號可在處理工具600的類比及數位輸出連接上加以輸出。可加以監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器650可提供用於執行上述沉積製程的程式指令。程式指令可控制各種製程參數,諸如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據此處描述的各種實施例操作膜堆疊的原位沉積。
系統控制器一般包含一或多個記憶體裝置及一或多個處理器,配置以執行指令使得該設備將根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀、非暫態媒體可耦接至系統控制器。
上述各種硬體及方法的實施例可結合微影圖案化的工具或製程(例如半導體裝置、顯示器、LED、太陽光電板等的製造或生產)而加以使用。通常,雖然不一定,此等工具/製程將在共同製造設施內一起使用或執行。
圖7描繪具有各種模組的半導體製程群集架構(cluster architecture),該等模組與真空傳送模組738(VTM)介接。在多個儲存設備及處理模組之中「傳送」基板的傳送模組之配置可被稱為「群集工具架構」系統。氣室730(亦稱為裝載鎖定部或傳送模組)係在具有四個處理模組720a-720d的VTM 738中加以顯示,該等處理模組720a-720d可個別地最佳化以執行各種製造處理。舉例而言,處理模組720a-720d可加以實現以執行基板蝕刻、沉積、離子佈植、基板清潔、濺鍍、及/或其他半導體處理、以及雷射計量和其他缺陷偵測及缺陷識別方法。處理模組的一或多者(720a-720d的任何一者)可如此處所揭示加以執行,即,用於將凹入的特徵部蝕刻進入基板、在凹入的特徵部之側壁上沉積保護性膜、及其他依據所揭示之實施例的適當功能。氣室730及處理模組720a-720d可被稱為「工作站」。每個工作站具有將工作站與VTM 738介接的面部736。在該面部內部,感測器1-18係用以當基板726在個別的工作站之間移動時偵測其通過。
機器人722在工作站之間傳送基板。在一實施方式中,機器人可具有一手臂,而在另一實施方式中,機器人可具有二手臂,其中每一手臂具有末端執行器724以拾取基板以供傳輸。在大氣傳送模組(ATM)740中的前端機器人732可用以將基板由裝載埠模組(LPM)742中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)734傳送至氣室730。在處理模組720a-720d內部的模組中心728可為用於放置基板的一個位置。在ATM 740中的對準器744可用以對準基板。
在一示例性的處理方法中,基板係放置於LPM 742中之FOUP 734的其中一者內。前端機器人732將基板由FOUP 734傳送至對準器744,該對準器744允許基板726在被蝕刻、或被沉積、或以其他方式處理之前正確地置中。在經過對準之後,基板係藉由前端機器人732移動進入氣室730。由於氣室模組具有使ATM與VTM之間的環境相匹配的能力,因此基板係能夠在不受損害的情況下於二種壓力環境之間移動。從氣室730,藉由機器人722將基板經由VTM 738移動進入處理模組720a-720d的其中一者,例如處理模組720a。為達成此基板移動,機器人722使用在其每一手臂上的末端執行器724。在處理模組720a中,基板如此處描述受到蝕刻以形成部分蝕刻的特徵部。接著,機器人722將基板移出處理模組720a,進入VTM 738,且接著進入不同的處理模組720b。在處理模組720b中,保護性膜係在部分蝕刻之特徵部的側壁上加以沉積。接著,機器人722將基板移出處理模組720b,進入VTM 738,且進入處理模組720a,其中該部分蝕刻的特徵部係進一步加以蝕刻。蝕刻/沉積可重覆直到完全蝕刻該特徵部。
應注意控制基板移動的電腦對於群集結構可為本地的,或可設在生產車間(manufacturing floor)中群集結構的外部,或位於遠端位置中並經由網路連接至群集結構。
膜的微影圖案化通常包含一些或全部下列操作,各個操作以幾個可能的工具達成:(1)工件(例如具有氮化矽膜形成於其上的基板)上光阻的塗佈,使用旋轉式或噴塗式的工具;(2)光阻的固化,使用熱板或加熱爐或其他適合的固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,使用諸如溼檯或噴霧顯影器的工具;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。在一些實施例中,可灰化硬遮罩層(諸如非晶型碳層)及其他合適的硬遮罩(諸如抗反射層)可在塗佈光阻之前加以沉積。
應理解此處描述的配置及/或方法本質上係例示性的,且這些具體的實施例或示例係不應以限制性的意義加以考慮,因為許多變化係可能的。此處描述的特定例程或方法可代表任何數目的處理策略之其中一或多者。因此,所說明的各種動作可以說明的順序、其他順序、平行、或在某些情況下省略而加以執行。同樣地,上述製程的順序可加以改變。
本揭示內容的申請標的包含各種製程、系統、及配置的所有新穎及非顯而易見之組合和子組合,及此處揭示的其他特徵、功能、行為、及/或特性,以及其任何及所有等價物。
1‧‧‧感測器
2‧‧‧感測器
3‧‧‧感測器
4‧‧‧感測器
5‧‧‧感測器
6‧‧‧感測器
7‧‧‧感測器
8‧‧‧感測器
9‧‧‧感測器
10‧‧‧感測器
11‧‧‧感測器
12‧‧‧感測器
13‧‧‧感測器
14‧‧‧感測器
15‧‧‧感測器
16‧‧‧感測器
17‧‧‧感測器
18‧‧‧感測器
102‧‧‧圓筒狀物
103‧‧‧介電材料
104‧‧‧保護性聚合側壁塗層
105‧‧‧弓形部
106‧‧‧遮罩層
302‧‧‧特徵部
303‧‧‧介電材料
304‧‧‧第一側壁塗層
306‧‧‧遮罩層
310‧‧‧第二側壁塗層
312‧‧‧前驅物層
400‧‧‧RF電漿反應器
402‧‧‧腔室
404‧‧‧腔室外罩
405‧‧‧真空腔室內部
406‧‧‧下電極
408‧‧‧上電極
410‧‧‧間隙
410a‧‧‧間隙
410b‧‧‧間隙
410c‧‧‧間隙
412‧‧‧開口
414‧‧‧絕緣環
416‧‧‧支撐板
418‧‧‧腔室壁板
420‧‧‧RF功率供應器
422‧‧‧RF供應導管
424‧‧‧RF帶
426‧‧‧RF功率構件
428‧‧‧階梯凸緣
430‧‧‧RF偏壓外罩
432‧‧‧碗
434‧‧‧臂
436‧‧‧接地屏蔽
438‧‧‧導管支撐板
440‧‧‧設施導管
442‧‧‧致動機構
444‧‧‧線性軸承
446‧‧‧螺旋齒輪
448‧‧‧曲徑軸封
450‧‧‧伸縮囊
452‧‧‧夾圈
456‧‧‧固定屏蔽
458‧‧‧屏蔽板
460‧‧‧曲徑溝槽
480‧‧‧真空入口
482‧‧‧晶圓埠
500‧‧‧反應器
502‧‧‧RF產生器
504‧‧‧射頻產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧來源氣體管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧晶圓底座
520‧‧‧加熱器塊
522‧‧‧出口
524‧‧‧腔室
530‧‧‧系統控制器
540‧‧‧幫浦
600‧‧‧處理工具
602‧‧‧入站裝載鎖定部
604‧‧‧出站裝載鎖定部
606‧‧‧機器人
608‧‧‧晶圓傳送盒
610‧‧‧大氣埠
612‧‧‧底座
614‧‧‧處理腔室
616‧‧‧腔室傳送埠
618‧‧‧底座
650‧‧‧系統控制器
652‧‧‧處理器
654‧‧‧大量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
690‧‧‧晶圓搬運系統
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d‧‧‧處理模組
722‧‧‧機器人
724‧‧‧末端執行器
726‧‧‧基板
728‧‧‧模組中心
730‧‧‧氣室
732‧‧‧前端機器人
734‧‧‧前開式晶圓傳送盒
736‧‧‧面部
738‧‧‧真空傳送模組
740‧‧‧大氣傳送模組
742‧‧‧裝載埠模組
744‧‧‧對準器
圖1說明蝕刻的圓筒狀物,該圓筒狀物由於側壁的過度蝕刻而具有不期望的弓形部。
圖2呈現一流程圖,用於根據各種揭示的實施例在半導體基板上形成蝕刻的特徵部之方法。
圖3A-3D描繪當根據各種實施例將在半導體基板中蝕刻的圓筒狀物係循環地加以蝕刻及使用保護性側壁塗層加以塗佈時的圓筒狀物。
圖4A-4C說明一種反應腔室,其根據某些實施例可用以執行此處描述的蝕刻製程。
圖5描繪一種反應腔室,其根據某些實施例可用以執行此處描述的沉積製程。
圖6顯示一種多工作站設備,其在某些實施方式中可用以執行沉積製程。
圖7呈現一種群組工具,其根據某些實施例可用以實施沉積及蝕刻兩者。

Claims (20)

  1. 一種在一堆疊中形成蝕刻的特徵部之方法,該堆疊包含在一半導體基板上的一介電材料,該方法包含: (a)       產生包含一蝕刻反應物的一第一電漿,將該基板曝露於該第一電漿,及在該堆疊中部分地蝕刻該特徵部; (b)      在(a)之後,藉由一電漿輔助的原子層沉積反應在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係實質上沿著該特徵部的整個深度加以沉積,該電漿輔助的原子層沉積反應包含: (i)        將該基板曝露於一第一沉積反應物,及允許該第一沉積反應物吸附在該特徵部的側壁之上; (ii)      在(i)之後,將該基板曝露於包含一第二沉積反應物的一第二電漿,其中將該基板曝露於該第二電漿的步驟驅動在該第一沉積反應物及該第二沉積反應物之間的一表面反應,從而在該特徵部的側壁上形成該保護性膜;以及 (c)       重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
  2. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,該保護性膜係使用在用以沉積該保護性膜的條件下具有約0.05或更小黏附係數的前驅物加以形成。
  3. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,在該最終深度,該特徵部具有約20或更高的一深寬比,及約20%或更少的一弓形部。
  4. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,該特徵部係在形成一VNAND元件的同時加以形成,且其中該堆疊包含(i)氧化物材料、及(ii)氮化物材料或多晶矽材料之交替的層。
  5. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,該特徵部係在形成一DRAM元件的同時加以形成,且其中該堆疊中的該介電材料包含氧化矽。
  6. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含一含硼反應物。
  7. 如申請專利範圍第1項之在一堆疊中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含一含矽反應物。
  8. 如申請專利範圍第6項之在一堆疊中形成蝕刻的特徵部之方法,其中,該第二沉積反應物包含一含氮反應物,及其中該保護性膜包含氮化硼。
  9. 如申請專利範圍第8項之在一堆疊中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含BCl3 ,且其中該第二沉積反應物包含N2 及H2
  10. 如申請專利範圍第8項之在一堆疊中形成蝕刻的特徵部之方法,其中,(a)進一步包含將在(b)中形成的該氮化硼保護性膜氧化以形成氧化硼保護性膜。
  11. 如申請專利範圍第10項之在一堆疊中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含BCl3 ,其中該第二沉積反應物包含N2 及H2 ,其中在(a)中的該蝕刻反應物包含一或多種氟碳化物及O2 ,及在(a)期間進一步包含將該氮化硼保護性膜氧化以形成氧化硼。
  12. 如申請專利範圍第1-11項其中任一者之在一堆疊中形成蝕刻的特徵部之方法,其中,操作(a)及(b)發生在相同的反應腔室內。
  13. 如申請專利範圍第1-11項其中任一者之在一堆疊中形成蝕刻的特徵部之方法,其中,該第一沉積反應物包含一含硼反應物及/或一含矽反應物,且其中該保護性膜包含一含硼的膜及/或一含矽的膜。
  14. 如申請專利範圍第13項之在一堆疊中形成蝕刻的特徵部之方法,其中該第一沉積反應物包含BCl3 ,其中該第二沉積反應物包含N2 及H2 ,其中在(b)中形成的該保護性膜係氮化硼,其中在(a)中的該蝕刻反應物包含一或多種氟碳化物及O2 ,且在(a)期間進一步包含將該氮化硼保護性膜轉變為氧化硼。
  15. 如申請專利範圍第1-11項其中任一者之在一堆疊中形成蝕刻的特徵部之方法,其中,該保護性膜包含氟碳化物聚合物。
  16. 如申請專利範圍第1-11項其中任一者之在一堆疊中形成蝕刻的特徵部之方法,其中,該特徵部具有約50或更高的一深寬比。
  17. 如申請專利範圍第1-11項其中任一者之在一堆疊中形成蝕刻的特徵部之方法,其中,(a)及(b)係重覆至少一次。
  18. 一種在一堆疊中形成蝕刻的特徵部之設備,該堆疊包含在一半導體基板上的一介電材料,該設備包含: 一或多個反應腔室,其中至少一反應腔室係設計或配置以執行蝕刻,及其中至少一反應腔室係設計或配置以執行沉積,每個反應腔室包含: 一入口,用於將處理氣體引至該反應腔室, 一出口,用於從該反應腔室移除材料,及 一電漿源;以及 一控制器,具有用於以下操作的指令: (a)    產生包含一蝕刻反應物的一第一電漿,將該基板曝露於該第一電漿,及在該堆疊中部分地蝕刻該特徵部,其中(a)係在設計或配置以執行蝕刻的該反應腔室中加以執行; (b)   在(a)之後,在該特徵部的側壁上沉積一保護性膜,其中,該保護性膜係在一電漿輔助的原子層沉積反應中實質上沿著該特徵部的整個深度加以沉積,且其中(b)係在設計或配置以執行沉積的該反應腔室中加以執行,該電漿輔助的原子層沉積反應包含: (i)    將該基板曝露於一第一沉積反應物,及允許該第一沉積反應物吸附在該特徵部的側壁之上; (ii)   在(i)之後,將該基板曝露於包含一第二沉積反應物的一第二電漿,其中將該基板曝露於該第二電漿的操作驅動在該第一沉積反應物及該第二沉積反應物之間的一表面反應,從而在該特徵部的側壁上形成該保護性膜;以及 (c)       重覆(a)-(b)直到該特徵部係蝕刻至一最終深度,其中在(b)中沉積的該保護性膜實質上防止在(a)期間該特徵部的橫向蝕刻,及其中該特徵部在其最終深度具有約5或更高的一深寬比。
  19. 如申請專利範圍第18項之在一堆疊中形成蝕刻的特徵部之設備,其中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的反應腔室相同,使得(a)及(b)兩者發生在相同的反應腔室內。
  20. 如申請專利範圍第18項之在一堆疊中形成蝕刻的特徵部之設備,其中,設計或配置以執行蝕刻的該反應腔室係與設計或配置以執行沉積的反應腔室不同,及其中該控制器進一步包含將該基板在設計或配置以執行蝕刻的該反應腔室與設計或配置以執行沉積的該反應腔室之間轉移的指令。
TW104139822A 2014-12-04 2015-11-30 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術 TW201630067A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/560,414 US9378971B1 (en) 2014-12-04 2014-12-04 Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Publications (1)

Publication Number Publication Date
TW201630067A true TW201630067A (zh) 2016-08-16

Family

ID=56094954

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139822A TW201630067A (zh) 2014-12-04 2015-11-30 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術

Country Status (3)

Country Link
US (2) US9378971B1 (zh)
KR (1) KR20160067742A (zh)
TW (1) TW201630067A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738848B (zh) * 2016-08-29 2021-09-11 日商東京威力科創股份有限公司 被處理體之處理方法
TWI775197B (zh) * 2017-12-29 2022-08-21 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10128082B2 (en) * 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
CN107785284B (zh) * 2016-08-25 2020-06-19 北京北方华创微电子装备有限公司 开盖机构和半导体加工设备
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102112705B1 (ko) * 2016-12-09 2020-05-21 주식회사 원익아이피에스 박막 증착 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) * 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6752357B2 (ja) * 2017-03-31 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6767302B2 (ja) 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
JP6823527B2 (ja) 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
TWI805162B (zh) * 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10867795B2 (en) 2017-05-18 2020-12-15 Applied Materials, Inc. Method of etching hardmasks containing high hardness materials
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10541144B2 (en) 2017-12-18 2020-01-21 Lam Research Corporation Self-assembled monolayers as an etchant in atomic layer etching
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2022537347A (ja) * 2019-06-20 2022-08-25 東京エレクトロン株式会社 選択的な窒化ホウ素又は窒化アルミニウムの堆積による高度に選択的な酸化ケイ素/窒化ケイ素のエッチング
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7344049B2 (ja) * 2019-08-29 2023-09-13 株式会社Screenホールディングス 半導体装置形成方法および基板処理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7437966B2 (ja) 2020-02-21 2024-02-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP7045428B2 (ja) * 2020-09-02 2022-03-31 東京エレクトロン株式会社 被処理体を処理する方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
RU2767915C1 (ru) * 2020-12-14 2022-03-22 Общество с ограниченной ответственностью "Оксифилм" (ООО "Оксифилм") Система для проведения процесса химического осаждения из паров летучих прекурсоров
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2022096326A (ja) 2020-12-17 2022-06-29 東京エレクトロン株式会社 基板処理方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022115656A (ja) 2021-01-28 2022-08-09 キオクシア株式会社 半導体装置の製造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11538692B2 (en) * 2021-05-21 2022-12-27 Tokyo Electron Limited Cyclic plasma etching of carbon-containing materials
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240112888A1 (en) * 2022-09-30 2024-04-04 Tokyo Electron Limited In-Situ Adsorbate Formation for Dielectric Etch
US20240112887A1 (en) * 2022-09-30 2024-04-04 Tokyo Electron Limited: In-Situ Adsorbate Formation for Plasma Etch Process
CN115404552B (zh) * 2022-11-01 2023-02-03 清华大学 一种极低气压反应腔下的侧壁钝化侧蚀动态平衡深刻蚀光子晶体结构制备方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) * 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
WO2009085564A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
KR100875180B1 (ko) * 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) * 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI738848B (zh) * 2016-08-29 2021-09-11 日商東京威力科創股份有限公司 被處理體之處理方法
US11380551B2 (en) 2016-08-29 2022-07-05 Tokyo Electron Limited Method of processing target object
US11658036B2 (en) 2016-08-29 2023-05-23 Tokyo Electron Limited Apparatus for processing substrate
TWI775197B (zh) * 2017-12-29 2022-08-21 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置

Also Published As

Publication number Publication date
US9378971B1 (en) 2016-06-28
US20160260620A1 (en) 2016-09-08
KR20160067742A (ko) 2016-06-14
US9997372B2 (en) 2018-06-12
US20160163556A1 (en) 2016-06-09

Similar Documents

Publication Publication Date Title
TW201630067A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201635334A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
US9997373B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
TWI680510B (zh) 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW201724254A (zh) 用於高深寬比介電蝕刻之遮罩收縮層
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
WO2018026867A1 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
TW202249116A (zh) 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護
KR20170132671A (ko) 고 종횡비 실린더 에칭에 대한 측벽 패시베이션을 증착하기 위한 기법
TW201835377A (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TW202410175A (zh) 針對高縱橫比蝕刻使用醛或異氰酸化學組成物的側壁鈍化
WO2023177594A1 (en) Sidewall passivation using aldehyde or isocyanate chemistry for high aspect ratio etch