TW201820461A - 用於半導體圖案化應用之高度乾蝕刻率材料 - Google Patents

用於半導體圖案化應用之高度乾蝕刻率材料 Download PDF

Info

Publication number
TW201820461A
TW201820461A TW106129284A TW106129284A TW201820461A TW 201820461 A TW201820461 A TW 201820461A TW 106129284 A TW106129284 A TW 106129284A TW 106129284 A TW106129284 A TW 106129284A TW 201820461 A TW201820461 A TW 201820461A
Authority
TW
Taiwan
Prior art keywords
substrate
patterning
spacer
plasma
processing
Prior art date
Application number
TW106129284A
Other languages
English (en)
Inventor
阿爾潘 馬侯羅瓦拉
可里伊許特克
普魯夏坦 庫瑪
珊卡 史旺明內森
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201820461A publication Critical patent/TW201820461A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供針對負型圖案化方案使用原子層沉積沉積低密度間隔件的方法及設備。方法包含下列其中一或多者:(1)在交替之沉積前驅物及氧化電漿之脈衝的各循環中將基板曝露於電漿少於約300 ms的持續時間;(2)以小於約0.2 W/cm2 之射頻功率密度將該基板曝露於電漿;及(3)將該基板曝露於自具有至少約1:12之氬對氧化劑之比例之處理氣體產生的電漿。

Description

用於半導體圖案化應用之高度乾蝕刻率材料
本發明係關於圖案化半導體基板的方法及設備。
先進積體電路的製造通常包含半導體之大量製造中之小特徵部的圖案化。多種圖案化技術可允許基於微影技術(諸如193 nm之浸潤式微影術)的特徵部尺寸縮放。自對準雙重圖案化係多重圖案化技術的一示例。
本文提供用於處理基板的方法及設備。一實施態樣包含一種使用負型圖案化使基板圖案化的方法,該方法包含:在芯材上保形地沉積間隔件,該間隔件藉由一或多原子層沉積循環加以沉積,各原子層沉積循環包含:將該基板曝露於沉積前驅物,並將該基板曝露於氧化劑且點燃電漿;及藉由在以相較於該芯材之速率快至少六倍的速率蝕刻該間隔件的條件下選擇性地蝕刻該間隔件,而形成用於圖案化基板的一遮罩。
在各種實施例中,該芯材包含碳。在一些實施例中,該芯材可為光阻、非晶形碳、或非晶矽。在一些實施例中,該芯材係旋塗碳、類鑽碳、及間隙填充可灰化硬遮罩之其中任一者。
沉積該間隔件的步驟可包含使用下列技術的其中一或多者:(1)在各循環中將該基板曝露於該電漿少於約300 ms的持續時間;(2)以小於約0.2 W/cm2 之基板有效表面積之射頻功率密度將該基板曝露於電漿;及(3)將該基板曝露於自具有至少約1:12之氬對氧化劑之比例之處理氣體產生的電漿。
在一些實施例中,該間隔件包括含矽材料。舉例而言,在一些實施例中,該間隔件包含矽氧化物。
該間隔件可具有在約1.4和約1.5之間的反射率。該間隔件可沉積至約10 nm和約30 nm之間的厚度。選擇性地蝕刻該間隔件的步驟可包含將該基板曝露於氟碳化物蝕刻劑。在各種實施例中,該間隔件係在約50℃和約200℃的溫度下加以沉積。該間隔件可具有約4和6之間的介電常數。
在一些實施例中,所選擇的該技術係(3),且該氧化劑係以約0.5 slm和約3 slm之間的流率流動。
在一些實施例中,在芯材上保形地沉積間隔件之後,在基板上沉積間隙填充材料。該間隙填充材料可為非晶形碳、旋塗碳、類鑽碳、間隙填充可灰化硬遮罩、鈦氧化物、鉿氧化物、鋯氧化物、或非晶矽的其中任一者。選擇性地蝕刻間隔件可包含在間隔件的蝕刻率係比間隙填充材料的蝕刻率快至少六倍的條件下蝕刻間隔件。
該方法亦可包含在DRAM的製造期間使用該遮罩蝕刻該基板。
另一實施態樣包含一種用於圖案化基板的設備,該設備包含:一或多個處理腔室;進入該一或多個處理腔室的一或多個氣體入口和相關聯的流量控制硬體;一低頻射頻(LFRF)產生器;一高頻射頻(HFRF)產生器;及具有至少一處理器及一記憶體的一控制器,其中該至少一處理器和該記憶體係彼此通訊連接,該至少一處理器係與該流量控制硬體、該LFRF產生器、和該HFRF產生器至少操作上連接,及該記憶體儲存電腦可執行的指令,用於控制該至少一處理器以至少控制該流量控制硬體、該HFRF產生器、及該LFRF產生器,以用於:在該一或多個處理腔室之其中一者中所容納的一基板上將間隔件保形地沉積在芯材上,該間隔件係藉由一或多個原子層沉積循環沉積,各原子層沉積循環包含:流動沉積前驅物,及流動氧化劑和點燃電漿;及在以相較於該芯材之速率快至少六倍的速率蝕刻該間隔件的條件下相對於該芯材選擇性地蝕刻該間隔件。
沉積該間隔件的指令可包含在各循環中點燃電漿少於約300 ms之持續時間的指令。沉積該間隔件的指令可包含以小於約0.2 W/cm2 的基板有效表面積之射頻功率密度點燃電漿的指令。沉積該間隔件的指令可包含當流動該氧化劑且點燃電漿時以至少約1:12之比例將氬與該氧化劑流至該一或多個處理腔室之該其中一者的指令。
這些及其他實施態樣係參照圖式進一步描述於下。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作,以免不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例描述,但可理解其係非意圖限制所揭示的實施例。
圖案化方法係在許多半導體製造製程中使用。尤其,多重圖案化已被用以將光微影技術擴展超出其光學極限。雙重圖案化係用以將光微影技術擴展超出其光學極限的一示例技術,且現在係在業界中針對小於約80 nm的節距廣泛地使用。目前的雙重圖案化技術通常使用側壁間隔件以兩個遮罩步驟圖案化溝槽。在正型及負型雙重圖案化製程兩者中之雙重圖案化(尤其線圖案化)的方法,已涉及間隔件及遮罩的使用。然而,目前的方法導致不佳、不穩定、且脆弱的遮罩,其係無法在半導體元件中提供高深寬比特徵部的有效形成。減少製程操作的方法對於增加效率及生產率係亦較佳的。
一示例係在圖1A至1E中提供,圖1A至1E係經歷負型圖案化方案之基板的示意圖,其中間隔件係使用習知的沉積技術沉積。圖2提供描繪用於執行負型圖案化方案之操作的製程流程圖。用於說明的目的,圖1A至1E及圖2係一起討論。
在圖2的操作201中,提供具有用於負型圖案化方案之芯材的基板。圖1A顯示包含目標層102及圖案化之芯材106的基板100。在各種實施例中,基板100是半導體基板。基板100可為矽晶圓,包含具有一或多層材料(諸如介電的、導電的、或半導體材料)沉積於其上的晶圓,例如200mm的晶圓、300mm的晶圓、或450mm的晶圓。
目標層102可為最終被圖案化的層。舉例而言,目標層102可為半導體、介電質、或其他層,且可由矽(Si)、氧化矽(SiO2 )、氮化矽(SiN)、或氮化鈦(TiN)所製成。目標層102可藉由原子層沉積(ALD)、電漿加強的ALD(PEALD)、化學氣相沉積(CVD)、或其他合適的沉積技術沉積。
芯材106可為光阻或可由非晶形碳材料或非晶矽材料製成。在一些實施例中,芯材106可為透明的。芯材106係藉由諸如電漿加強化學氣相沉積(PECVD)的沉積技術沉積,而該沉積技術可包含在沉積腔室內自包含烴前驅物的沉積氣體產生電漿。烴前驅物可由化學式Ca Hb 定義,其中a係在2和10之間的整數,而b係在2和24之間的整數。示例包含甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C7 H8 )。可使用包含高頻(HF)功率及低頻(LF)功率的雙射頻(RF)電漿源。在被圖案化之前,芯材106係沉積在目標層102上。
參照圖2,在操作203中,間隔件材料係保形地沉積在基板上。圖1B顯示保形地沉積在基板上在芯材106上的習知間隔件材料104。用於沉積間隔件材料的習知技術包含使用含矽或含鍺前驅物及氧化電漿的ALD及PEALD,以分別形成矽氧化物或鍺氧化物。
ALD係一種使用順序式自限制反應沉積薄材料層的技術。ALD製程使用表面介導沉積反應以循環地逐層沉積膜。作為示例,ALD循環可包含以下操作:(i)前驅物的遞送/吸附,(ii)自腔室吹洗該前驅物,(iii)第二反應物的遞送及選用性地點燃電漿,及(iv)自腔室吹洗副產物。在基板表面上形成膜之第二反應物與吸附的前驅物之間的反應影響膜組成及特性,諸如不均勻性、應力、濕蝕刻率、乾蝕刻率、電特性(例如崩潰電壓及漏電流)等。
在ALD製程的一個示例中,包含一群表面活性部位的基板表面係曝露於在提供至容納該基板之腔室的劑量下之第一前驅物(諸如含矽前驅物)的氣相分佈。此第一前驅物的分子被吸附至基板表面之上,包含第一前驅物的化學吸附物種及/或物理吸附分子。應理解當化合物係如此處所述吸附至基板表面之上時,所吸附的層可包含該化合物及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可包括含矽前驅物及含矽前驅物的衍生物。在一個第一前驅物劑量之後,腔室係接著抽空以移除殘留在氣相之大部分或所有第一前驅物,使得主要是或僅有吸附的物種保留。在一些實施方式中,腔室可能未完全抽空。舉例而言,可將反應器抽空,使得氣相之第一前驅物的分壓係足夠低以緩和反應。第二反應物(諸如含氧氣體)係引至腔室,使得這些分子的其中一些與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與所吸附的第一前驅物反應。在其他實施例中,第二反應物僅在時間性施加例如電漿之活化源之後反應。腔室可接著再次抽空以移除未受束縛的第二反應物分子。如上所述,在一些實施例中,腔室可不完全抽空。額外的ALD循環可用以建立膜厚度。
在一些實施方式中,ALD方法包含電漿活化。如本文所述,此處描述的ALD方法及設備可為保形膜沉積(CFD)方法,其係在下列文件中一般性地描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”的美國專利申請案第13/084,305號,其全部內容於此藉由參照納入本案揭示內容。
然而,用於在基板上沉積間隔件材料的習知技術可能無法實現足以形成高品質遮罩的蝕刻選擇性,如下面進一步描述。參照圖2,在操作204中,在基板上沉積間隙填充材料。在一些實施例中,間隙填充材料可為含碳材料,該含碳材料可藉由包含PECVD及旋塗技術的方法沉積。在各種實施例中,間隙填充材料可為金屬氧化物,諸如:鈦氧化物、鉿氧化物、及鋯氧化物。在一些實施例中,間隙填充材料可為非晶矽。圖1C顯示具有沉積在基板100上之間隙填充材料108的示例基板100。在一些實施例中,間隙填充材料可為間隙填充可灰化硬遮罩。
參照圖2,在操作205中,回蝕基板且選擇性地蝕刻間隔件材料以形成作為雙重圖案化遮罩的芯材。圖1D顯示被回蝕的間隙填充材料118。如圖1E所示,當習知沉積的間隔件材料選擇性地受蝕刻時,間隔件材料被移除,但由於不佳的蝕刻選擇性,芯材116變為劣化且高度降低。在經蝕刻之間隔件材料114上之剩餘的間隙填充材料118保留在基板上,但遮罩包含實質上比期望更短的圖案。具有短遮罩可能導致目標層102的不良蝕刻,此導致可靠性問題及元件問題。
與這些習知技術相關的問題可能由於在基板上形成間隙填充含碳材料之前,在基板上保形地沉積之間隔件材料的類型。因此,期望製造間隔件材料可藉以更容易地相對於含碳芯材及間隙填充材料選擇性地受蝕刻的基板,從而減少含碳材料上之劣化及蝕刻的量。
此處提供用於使用負型圖案化方案圖案化基板的方法及設備,其中針對此等圖案化方案使用的間隔件材料具有相對於含碳材料至少6:1的蝕刻選擇性,使得間隔件材料的蝕刻率比含碳材料的蝕刻率快至少六倍。所揭示的實施例亦包含沉積具有低密度的間隔件材料,以確保間隔件材料相對於含碳材料之較快的蝕刻率。方法包含使用電漿加強原子層沉積(PEALD)方法沉積間隔件材料。
圖3提供描繪根據某些揭示的實施例執行的操作之製程流程圖。操作201、204、205、及207係提供作為以上關於圖2描述的操作,使得操作303-311可被執行以在上述的操作203中在基板上方保形地沉積間隔件材料。應理解圖3中執行的操作可在諸如圖2顯示的圖案化方案中執行。將進一步理解操作201、204、205、及207可根據所使用的圖案化方案而變化。舉例而言,雙重圖案化方案及四重圖案化方案可具有不同及/或額外的操作。
參照圖3,在操作201中,提供具有目標層上之圖案化芯材的基板。此操作可包含提供諸如以上關於圖2之操作201而描述的基板。
圖3的操作303-311可在約50℃和約200℃之間的溫度下、及約1.0托和約9.0托之間的壓力下執行。此處描述的方法可包含ALD。舉例而言,在圖3中,操作303-309可構成ALD的一個循環。雖然圖3中描述的操作關於含矽間隔件材料的形成,但應理解在一些實施例中,可使用用以沉積含鍺之間隔件材料的含鍺前驅物。舉例而言,間隔件材料可為鍺,或在一些實施例中可為金屬氧化物,諸如:鈦氧化物、錫氧化物、鋯氧化物、或鉿氧化物。
參照圖3,在操作303中,基板係曝露於含矽前驅物以將含矽前驅物吸附在基板表面之上。在各種實施例中,該含矽前驅物可吸附在至少約80%的表面活性位置之上。在一些實施例中,該含矽前驅物可吸附在基板之上以使基板的表面完全飽和,使得該含矽前驅物吸附在芯材的曝露表面和目標層的曝露區域之上。將基板曝露於該含矽前驅物的持續時間可在約0.1秒和約2秒之間。
適合根據所揭示的實施例使用之含矽前驅物包含聚矽烷(H3 Si‑(SiH2 )n ‑SiH3 ),其中n 0。矽烷的示例係矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷(諸如甲矽烷、乙矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等)。
鹵矽烷包含至少一鹵基團,且可包含或可不包含氫及/或碳基團。鹵矽烷的例子為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其是氟矽烷)在電漿點燃時可形成可蝕刻矽材料的反應性鹵化物物種,但在一些實施例中,當電漿點燃時,鹵矽烷可能不會被引至腔室,所以可減輕來自鹵矽烷之反應性鹵化物物種的形成。具體的氯矽烷係四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。
胺基矽烷包含鍵結至矽原子的至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷的例子係一、二、三、及四胺基矽烷(分別是H3 Si(NH2 )、H2 Si(NH2 )2 、HSi(NH2 )3 、及Si(NH2 )4 ,),及取代的一、二、三、及四胺基矽烷,例如:叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、二(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS))、矽基胺甲酸叔丁酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl‑(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。胺基矽烷之進一步的示例為三矽基胺((N(SiH3 )3 )。
在操作305中,可吹洗容納基板的處理腔室以移除未吸附在基板表面之上的前驅物。吹洗腔室可包含流動吹洗氣體或清掃氣體,該吹洗氣體或清掃氣體可為用於其他操作的載體氣體,或可為不同的氣體。示例吹洗氣體包含氬、氮、氫、及氦。在各種實施例中,吹洗氣體係惰性氣體。示例惰性氣體包含氬、氮、及氦。在一些實施例中,吹洗可包含抽空腔室。在一些實施例中,操作305可包含用於抽空處理腔室的一或多個抽空子階段。或者,應理解在一些實施例中操作305可被省略。操作305可具有任何合適的持續時間,諸如在約0.1秒和約2秒之間。
在操作307中,在將吸附的前驅物轉變成具有比芯材之蝕刻率快至少六倍之蝕刻率之間隔件材料的條件下,將基板曝露於氧化劑且點燃電漿。在矽氧化物間隔件被沉積的情況下,吸附在基板表面之上的含矽前驅物與氧化電漿反應以形成矽氧化物。示例氧化劑包含氧氣、水、二氧化碳、一氧化二氮、及其組合。提供電漿能量以將第二反應物(諸如含氧氣體)活化成與第一前驅物之吸附層反應的離子和自由基及其他活化的物種。舉例而言,電漿可直接或間接地活化含氧氣相分子以形成氧自由基或離子。
在操作307期間,可調節下列條件的其中任何一或多者,以沉積低密度矽氧化物膜,當曝露於碳氟化物蝕刻劑時,該低密度矽氧化物膜具有比芯材之蝕刻率快至少約6倍的蝕刻率:(1)至腔室之惰性氣體流率相對於氧化劑流率;(2)射頻(RF)電漿「開啟」時間;及(3)RF電漿功率。矽氧化物膜可具有約1.4和約1.5之間的折射率。在各種實施例中,矽氧化物膜可具有大約4和大約6之間的介電常數,諸如大約5。
為了相對於氧化劑流率調節惰性氣體流率,將理解在操作307期間,惰性氣體可作為載體氣體流動,或可與氧化劑一起流入處理腔室。惰性氣體流率對氧化劑流率的比例可在約1:100和約1:1.5之間、或在約1:12和1:4之間,以促進低密度矽氧化物膜的形成。在各種實施例中,氧化劑可以約0.5 slm和3 slm之間的流率流動。
在各種實施例中,被點燃的電漿可為單或雙射頻電漿。單頻電漿通常(但未必)僅有高頻(HF),而雙頻電漿通常亦包含低頻(LF)分量。在各種實施例中,電漿係原位電漿,使得電漿係在腔室內的基板表面正上方形成。該原位電漿可以約0.05 W/cm2 和約0.2 W/cm2 之間的每基板面積功率被點燃。舉例而言,對於每一工作站而言,12吋晶圓之面積上的功率可在約50 W和約250 W之間。舉例而言,用於ALD製程的電漿可藉由使用兩電容耦合板將射頻(RF)場施加至氣體而產生。藉由RF場之板間氣體的離子化將電漿點燃,而在電漿放電區域中產生自由電子。這些電子係藉由RF場加速,且可能與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可能形成參與沉積製程的自由基物種。應理解RF場可藉由任何合適的電極耦合。在各種實施例中,可使用具有至少約13.56 MHz、或至少約27 MHz、或至少約40 MHz、或至少約60 MHz之頻率的高頻電漿。在一些實施例中,可使用基於微波的電漿。電極的非限制性示例包含處理氣體分配噴淋頭及基板支撐底座。應理解用於ALD製程的電漿可藉由除了RF電場對氣體的電容耦合之外的一或多個合適的方法形成。在一些實施例中,電漿係遠程電漿,使得氧化劑在腔室上游的遠程電漿產生器中被點燃,接著被遞送至容納基板的腔室。
可調節RF電漿「開啟」時間,使得操作307期間點燃電漿的持續時間可被改變。RF電漿「開啟」時間可為小於約300毫秒。
操作307包含調節下列其中任何一或多者:(1)至腔室之惰性氣體流率相對於氧化劑流率;(2)射頻(RF)電漿「開啟」時間;及(3)RF電漿功率。為了相對於至腔室之氧化劑流率調節惰性氣體流率,惰性氣體對氧化劑的流率可在約1:100和約1:1.5之間、或在約1:12和1:4之間。為了調節RF電漿「開啟」時間,RF電漿「開啟」時間可小於約300毫秒。為了調節RF電漿功率,電漿功率密度(W/每基板面積)可在約0.2 W/cm2 和約2 W/cm2 之間。在各種實施例中,操作303可涉及引入諸如矽烷、二矽烷、BTBAS、及SAM24的含矽前驅物,且在操作307中使用的氧化劑可為氧氣、水、二氧化碳、一氧化二氮、及其組合的其中任一者。
參照圖3,在操作309中,可吹洗處理腔室以移除任何來自間隔件材料前驅物與氧化劑之間的反應之過量副產物,及移除未與基板表面上之間隔件材料前驅物反應的過量氧化劑。用於操作309的製程條件可為以上關於操作305描述者的其中任一者。在一些實施例中,腔室係使用以約5 slm和約30 slm之間的流率流動之惰性氣體加以吹洗。
在操作311中,判定間隔件膜是否已沉積至足夠的厚度。如果沒有,可選用性地重複操作303-309。如果間隔件已被沉積至足夠的厚度,則可如以上關於圖2所述執行操作204、205、及207。
圖4A至4C顯示具有使用負型圖案化應用中使用之某些所揭示實施例沉積的間隔件材料404之示例基板400的示意圖。在圖4A中,間隙填充含碳層408係在使用某些所揭示實施例而沉積的間隔件材料404上加以沉積。注意間隔件材料404係保形地沉積在目標層402頂上的芯材406上。在圖4B中,間隙填充含碳材料416受到回蝕。在圖4C中,選擇性地且定向地蝕刻間隔件材料404b,以在目標層402上的基板上留下被蝕刻的芯材496及被蝕刻的間隙填充含碳材料498。注意在間隙填充含碳材料498下方留有一些間隔件材料404b。所得的遮罩具有良好的輪廓,其中遮罩的高度幾乎沒有劣化,其可從而用以有效地蝕刻目標層402。 設備
圖5描繪一原子層沉積(ALD)處理工作站500之實施例的示意說明,該處理工作站500具有用於維持低壓環境的處理腔室502。複數ALD處理工作站可被包含在共同的低壓處理工具環境中。舉例而言,圖6描繪多工作站式處理工具600的一實施例。在一些實施例中,ALD處理工作站500的一或多個硬體參數(包含以下詳細探討者)可由一或多個電腦控制器550以編程方式調整。
ALD處理工作站500與反應物遞送系統501a呈流體連通,該反應物遞送系統501a用於將處理氣體遞送至分配噴淋頭506。反應物遞送系統501a包含混合容器504,該混合容器504用於混合及/或調節處理氣體(諸如含矽氣體或含氧氣體)以遞送至噴淋頭506。反應物遞送系統501a亦可調節惰性氣體對含氧氣體的比例,用於將氣體遞送至處理工作站500以沉積低密度矽氧化物膜。一或多混合容器入口閥520可控制處理氣體至混合容器504的引入。在各種實施例中,間隔件材料的沉積係在處理工作站500內執行,且蝕刻亦在相同的處理工作站500內執行。舉例而言,在一些實施例中,ALD處理工作站500可用以將沉積前驅物物氣體遞送至處理腔室502,接著遞送氧化氣體及點燃電漿以形成低密度矽氧化物。在一些實施例中,諸如選擇性地蝕刻間隔件材料以形成含碳遮罩的蝕刻操作係在與ALD處理工作站500隔開的處理工作站(諸如如下面關於圖6進一步描述之在多工作站式處理工具600的另一工作站)內執行。
作為一個例子,圖5的實施例包含一汽化點503,用於將待供應至混合容器504的液體反應物汽化。在一些實施例中,汽化點503可為加熱的汽化器。從此等汽化器產生之飽和的反應物蒸氣可能在下游遞送管路內凝結。不相容的氣體曝露於凝結的反應物可能產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些方法涉及吹洗及/或抽空遞送管路以移除殘留的反應物。然而,吹洗遞送管路可能增加處理工作站的循環時間、降低處理工作站的生產率。因此,在一些實施例中,汽化點503下游的遞送管路可為伴熱的(heat traced)。在一些實例中,混合容器504亦可為伴熱的。在一非限制性的示例中,汽化點503下游的管路具有從大約100℃延伸至混合容器504處大約150℃的漸增溫度分布。
在一些實施例中,液體前驅物或液體反應物可在液體注射器(未顯示)被汽化。舉例而言,液體注射器可將液體反應物的脈衝注入至混合容器504上游的載體氣流內。在一實施例中,液體注射器可藉由將液體自一較高壓力至較低壓力驟沸而汽化反應物。在另一實施例中,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的遞送管內汽化。較小的液滴可比較大的液滴更快汽化,縮短液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點503下游之管路的長度。在一情況下,液體注射器可直接安裝至混合容器504。在另一情況下,液體注射器可直接安裝至噴淋頭506。
在一些實施例中,汽化點503上游的液體流量控制器(LFC)可加以設置,以控制用於汽化及遞送至處理腔室502之液體的質量流量。舉例而言,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著響應回授控制訊號而加以調整,該回授控制訊號係由與MFM電連通的一比例-積分-微分作用(PID, proportional-integral-derivative)控制器提供。然而,使用回授控制可能耗用一秒以上以穩定液體流量。此可能延長供給液體反應物的時間。因此,在一些實施例中,LFC可在回授控制模式與直接控制模式之間動態地切換。在一些實施例中,此可藉由將PID控制器和LFC的感測管停用而執行。
噴淋頭506將處理氣體朝基板512散佈。在圖5顯示的實施例中,基板512係位於噴淋頭506下方,且係顯示配置在底座508上。噴淋頭506可具有任何適合的形狀,且可具有任何適合數量及排列的埠口,以供將處理氣體散佈至基板512。
在一些實施例中,底座508可升高或降低,以將基板512曝露於在基板512與噴淋頭506之間的容積。在一些實施例中,底座508可藉由加熱器510控制溫度。底座508可在用於執行各種所揭示的實施例之操作期間被設成任何合適的溫度,諸如在約25℃和約650℃之間。應理解在一些實施例中,底座高度可藉由適合的電腦控制器550以編程方式調整。
在另一情況下,調整底座508的高度可允許電漿密度在某些所揭示實施例中執行的電漿活化循環期間被改變。在製程階段結束時,底座508可在另一基板傳送階段期間降低,以允許自底座508移除基板512。
在一些實施例中,噴淋頭506的位置可相對於底座508被調整,以改變基板512與噴淋頭506之間的容積。此外,應理解底座508及/或噴淋頭506的垂直位置可藉由在本揭示內容範圍內之任何適合的機構加以變化。在一些實施例中,底座508可包含用於旋轉基板512之方向的旋轉軸。應理解在一些實施例中,這些示例調整的其中一或多者可藉由一或多個適合的電腦控制器550以編程方式執行。電腦控制器550可包含以下關於圖6之控制器650所描述的任何特徵。
在電漿可如上所述使用的一些實施例中,噴淋頭506及底座508係與用於對電漿供電的射頻(RF)電源514及匹配網路516電連通。在一些實施例中,電漿能量可藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序的其中一或多者而受控制。舉例而言,RF電源514及匹配網路516可在任何適合的功率下操作,以形成具有期望之自由基物種成分的電漿。適合功率的例子係被包含於先前敘述。同樣地,RF電源514可提供任何適合頻率的RF功率。在一些實施例中,RF電源514可配置成彼此獨立地控制高頻及低頻RF功率源。示例的低頻RF頻率可包含但不限於0 kHz與500 kHz之間的頻率。示例的高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。應理解任何適合的參數可不連續地或連續地加以調整,以提供用於表面反應的電漿能量。
在一些實施例中,電漿可藉由一或多個電漿監視器原位監控。在一情況下,電漿功率可藉由一或多個電壓、電流感測器(例如VI探針)監控。在另一情況下,電漿密度及/或處理氣體濃度可藉由一或多個光學發射光譜感測器(OES)量測。在一些實施例中,一或多個電漿參數可基於來自此等原位電漿監視器的量測以編程方式調整。舉例而言,OES感測器可在回授迴路中使用,該回授迴路用於提供電漿功率的編程控制。應理解在一些實施例中,其他監視器可用以監控電漿及其他製程特性。此等監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,控制器550的指令可透過輸入/輸出控制(IOC)序列指令提供。在一示例中,用於設定製程階段之條件的指令可被包含於製程配方之相對應的配方階段中。在某些情況下,製程配方階段可依序排列,使得製程階段的所有指令係與該製程階段同時執行。在一些實施例中,用於設定一或多個反應器參數的指令可被包含於一配方階段中。舉例而言,第一配方階段可包含用於設定惰性及/或反應物氣體(例如諸如含矽前驅物之第一前驅物)之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第一配方階段的時間延遲指令。後續的第二配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或吹洗氣體之流率的指令、及該第二配方階段的時間延遲指令。第三配方階段可包含用於調節諸如氬之第二反應物氣體之流率的指令、用於調節載體或吹洗氣體之流率的指令、以低功率點燃電漿的指令、及該第三配方階段的時間延遲指令。後續的第四配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或吹洗氣體之流率的指令、及該第四配方階段的時間延遲指令。應理解這些配方階段可在本揭示內容的範圍內以任何適合的方式進一步細分及/或加以重複。
此外,在一些實施例中,處理工作站500的壓力控制可藉由蝶形閥518提供。如圖5的實施例所示,蝶形閥518調節由下游真空泵(未顯示)提供的真空。然而,在一些實施例中,處理工作站500的壓力控制亦可藉由改變被導入處理工作站500之一或多氣體的流率而調整。
如上所述,一或多個處理工作站可被包含在一多工作站式處理工具內。圖6顯示一多工作站式處理工具600之實施例的示意圖,該多工作站式處理工具600具有入站裝載鎖定部602及出站裝載鎖定部604,其中的一者或兩者可包含遠程電漿源(未顯示)。處於大氣壓力下的機器人606係配置成將晶圓從卡匣(經由晶圓傳送盒(pod)608裝載)通過大氣埠610而移動到入站裝載鎖定部602中。晶圓(未顯示)係由機器人606放置在入站裝載鎖定部602中的底座612上,將大氣埠610關閉,並將入站裝載鎖定部602抽真空。若入站裝載鎖定部602包含遠端電漿源,則晶圓在被引入處理腔室614之前,可在入站裝載鎖定部602中曝露於遠程電漿處理。此外,晶圓亦可同樣在入站裝載鎖定部602中被加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室614的腔室傳送埠616,且另一機器人(未顯示)將晶圓放進反應器中,在該反應器中顯示的第一工作站之底座上進行處理。雖然圖6中描繪的實施例包含裝載鎖定部,但可理解在一些實施例中,可設置晶圓進入處理工作站的直接通道。
在圖6顯示的實施例中,所描繪的處理腔室614包含四個處理工作站(編號從1到4)。各工作站具有加熱的底座(對於工作站1顯示為618)及氣體管線入口。應理解在一些實施例中,各處理工作站可具有不同或多種用途。舉例而言,在一些實施例中,一處理工作站可在ALD與電漿加強的ALD處理模式之間切換。在一些實施例中,曝露於沉積前驅物及曝露於第二反應物和電漿係在相同的工作站中執行。此外或替代地,在一些實施例中,處理腔室614可包含一對以上匹配的ALD和電漿加強的ALD處理工作站。雖然所描繪的處理腔室614包含四個工作站,但應理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。舉例而言,在一些實施例中,處理腔室可具有五個以上工作站,而在其他實施例中,處理腔室可具有三個或更少的工作站。
圖6描繪晶圓搬運系統690的實施例,其在處理腔室614之內傳送晶圓。在一些實施例中,晶圓搬運系統690可在不同處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。應理解可使用任何適當的晶圓搬運系統。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖6亦描繪系統控制器650的一個實施例,該系統控制器650用於控制處理工具600的製程條件及硬體狀態。系統控制器650可包含一或多個記憶體裝置656、一或多個大量儲存裝置654、及一或多個處理器652。處理器652可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器650控制處理工具600的所有活動。系統控制器650執行系統控制軟體658,該系統控制軟體658係儲存在大量儲存裝置654中、加載至記憶體裝置656、及在處理器652上執行。或者,控制邏輯可在控制器650中加以硬編碼。針對此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如現場可程式化閘陣列(FPGAs))等。在下面的討論中,在任何使用「軟體」或「程式碼」之處,皆可使用功能性相當的硬編碼邏輯來取代。系統控制軟體658可包含用於控制下述的指令:時序、氣體的混合、氣體流率、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、卡盤及/或基座的位置、及由處理工具600執行之特殊製程的其他參數。系統控制軟體658可以任何適合的方式配置。舉例而言,各種處理工具元件的副程式或控制物件可加以撰寫,以控制用以執行各種處理工具製程之處理工具元件的操作。系統控制軟體658可以任何適合的電腦可讀程式語言編碼。
在一些實施例中,系統控制軟體658可包含輸入/輸出控制(IOC)定序指令,用於控制上述各種參數。儲存在與系統控制器650相關聯之大量儲存裝置654及/或記憶體裝置656中的其他電腦軟體及/或程式,可用在一些實施例中。用於此目的之程式或程式區段的例子包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載至底座618之上、及控制基板與處理工具600的其他部分之間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分(例如含矽氣體、含氧氣體、用於執行後劑量處理之氣體、及如本文描述的吹洗氣體)和流率、及選用性地用於在沉積之前將氣體流進一或多個處理工作站,以使處理工作站內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至基板的遞送。
電漿控制程式可包含程式碼,用於根據此處實施例在一或多個處理工作站內設定施加至處理電極的RF功率位準。
壓力控制程式可包含程式碼,用於根據此處實施例維持反應腔室內的壓力。
在一些實施例中,可能有與系統控制器650相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器650調整的參數可能與製程條件有關。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)等。這些參數可以配方的形式提供給使用者,其可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器650的類比及/或數位輸入連接件自各種處理工具感測器提供。用於控制製程的訊號可在處理工具600的類比及數位輸出連接件上輸出。可被監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器650可提供用於執行上述沉積製程的程式指令。該等程式指令可控制各種製程參數,諸如:DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據此處描述的各種實施例操作膜堆疊的原位沉積。
系統控制器650一般包含一或多個記憶體裝置及一或多個處理器,配置以執行指令使得該設備根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀媒體可耦接至系統控制器650。
在一些實施方式中,系統控制器650係一系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一或多個處理工具、一或多個腔室、用於處理的一或多個平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一或多個系統的各種元件或子部分。依據系統的處理條件及/或類型,系統控制器650可被編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接之裝載鎖定部的晶圓轉移。
廣義地說,系統控制器650可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與系統控制器650通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個處理步驟。
在一些實施方式中,系統控制器650可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,系統控制器650可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,系統控制器650接收數據形式的指令,該數據指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置系統控制器650以介接或控制之工具的類型。因此,如上所述,系統控制器650可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或多個積體電路,其結合以控制腔室內的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的一或多個製程步驟,系統控制器650可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
用於執行此處所揭示方法的適當設備係進一步在下列美國專利申請案中討論及描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”之美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”之美國專利申請案第13/084,305號,其中每一者的全部內容於此納入本案揭示內容。
此處描述的設備/製程可結合微影圖案化的工具或製程(例如半導體元件、顯示器、LED、太陽光電板等的製造或生產)加以使用。通常,雖然不一定,此等工具/製程將在共同的製造設施內一起使用或執行。膜的微影圖案化一般包含下列操作的一些或全部者,各操作係以幾個可能的工具達成:(1)工件(即基板)上光阻的塗佈,其使用旋轉式或噴塗式的工具;(2)光阻的固化,其使用熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,其使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。
此處描述的蝕刻操作(諸如用於相對於間隔件材料選擇性蝕刻芯材)可在任何合適的處理腔室中執行。在一些實施例中,基板可在諸如圖7顯示的感應耦合電漿(ICP)反應器中受蝕刻。
現在描述某些實施例中可適合用於蝕刻操作及原子層沉積(ALD)操作的感應耦合電漿(ICP)反應器。此等ICP反應器亦已在2013年12月10日申請、題為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美國專利申請案公開號第2014/0170853號中描述,其全部內容於此藉由參照及為了所有目的納入本案揭示內容。雖然此處描述ICP反應器,但在一些實施例中,應理解亦可使用電容耦合電漿反應器。
圖7示意性地顯示適合用於執行此處某些實施例之感應耦合電漿整合蝕刻及沉積設備700的橫剖面圖,該設備的一個例子係KiyoTM 反應器,由Lam Research Corp. of Fremont, CA所製造。該感應耦合電漿設備700包含由腔室701的壁及窗711結構上定義的整體處理腔室。腔室701的壁可由不銹鋼或鋁製造。窗711可由石英或其他介電材料製造。選用性的內部電漿格柵750將整體處理腔室分割成上子腔室702及下子腔室703。在大部分的實施例中,可將電漿格柵750移除,從而使用由子腔室702和703構成的腔室空間。卡盤717係位在下子腔室703之內接近底部內表面。卡盤717係配置成接收及固持半導體晶圓719,在該半導體晶圓719上執行蝕刻及沉積製程。卡盤717可為靜電卡盤,用於當晶圓719存在時支撐晶圓719。在一些實施例中,邊緣環(未顯示)環繞卡盤717,且當晶圓719存在卡盤717上時,該邊緣環具有與晶圓719的頂部表面大致平坦的上表面。卡盤717亦包含用於夾持及解除夾持晶圓的靜電電極。濾波器及DC箝位電源(DC clamp power supply)(未顯示)可針對此目的而提供。亦可提供用於抬升晶圓719遠離卡盤717的其他控制系統。可使用RF電源723使卡盤717帶電荷。RF電源723係藉由連接件727連接至匹配電路721。該匹配電路721係藉由連接件725連接至卡盤717。以此方式,RF電源723係連接至卡盤717。
用於產生電漿的元件包含位在窗711之上的線圈733。在一些實施例中,線圈並未在所揭示的實施例中使用。線圈733係由導電材料製成,且包含至少一整圈。在圖7中顯示之線圈733的例子包含三圈。線圈733的橫剖面係以符號表示:具有「X」的線圈旋轉延伸進入頁面,而具有「●」的線圈旋轉延伸出頁面。用於產生電漿的元件亦包含RF電源741,其配置成將RF功率供應至線圈733。通常,RF電源741係藉由連接件745連接至匹配電路739。該匹配電路739係藉由連接件743連接至線圈733。以此方式,RF電源741係連接至線圈733。選用性的法拉第屏蔽749係位在線圈733與窗711之間。法拉第屏蔽749係相對於線圈733維持一間隔開的關係。法拉第屏蔽749係設在窗711的正上方。線圈733、法拉第屏蔽749、及窗711係各自配置成實質上彼此平行。法拉第屏蔽749可防止金屬或其他物種沉積在電漿腔室的介電窗上。
處理氣體可通過位在上腔室的一或多個主要氣體流入口760及/或通過一或多個側氣體流入口770流入至處理腔室。同樣,雖然未明確顯示,類似的氣體流入口可用以將處理氣體供應至電容耦合電漿處理腔室。真空幫浦(例如一或二階段機械乾式幫浦及/或渦輪分子幫浦740)可用以將處理氣體泵出處理腔室701,且在處理腔室701之內維持壓力。舉例而言,幫浦可用以抽空腔室701。閥控制的導管可用以將真空幫浦流體連接至處理腔室,以選擇性地控制由真空幫浦提供之真空環境的應用。此可在操作的電漿處理期間使用閉迴路控制的流量限制裝置(諸如節流閥(未顯示)或鐘擺閥(未顯示))進行。同樣,亦可使用連接至電容耦合電漿處理腔室的真空幫浦及閥控制流體連接件。
在設備的操作期間,一或多個處理氣體可通過氣體流入口760及/或770供應。在某些實施例中,處理氣體可僅通過主要氣體流入口760,或僅通過側氣體流入口770供應。在一些情況下,圖中顯示的氣體流入口可取代更複雜的氣體流入口,例如一或多個噴淋頭。法拉第屏蔽749及/或選用性的格柵750可包含允許將處理氣體遞送至腔室的內部通道及孔洞。法拉第屏蔽749及選用性的格柵750之其中一者或兩者可作為用於遞送處理氣體的噴淋頭。在一些實施例中,液體汽化及遞送系統可位於腔室701的上游,使得一旦液體反應物係被汽化,汽化的反應物係通過氣體流入口760及/或770引入至腔室。
射頻功率係從RF電源741供應至線圈733,以造成RF電流流經線圈733。流經線圈733的RF電流在線圈733周圍產生電磁場。該電磁場在上子腔室702之內產生感應電流。各種產生的離子及自由基與晶圓719的物理及化學交互作用在晶圓上選擇性地蝕刻特徵部及沉積層。
若使用電漿格柵而使得有上子腔室702及下子腔室703兩者,則感應電流作用於存在上子腔室702中的氣體,以在上子腔室702中產生電子-離子電漿。選用性的內部電漿格柵750限制下子腔室703中之熱電子的量。在一些實施例中,設備係被設計及操作成使得存在下子腔室703中的電漿係離子-離子電漿。
上電子-離子電漿及下離子-離子電漿兩者可包含正及負離子,然而離子-離子電漿將具有較大之負離子對正離子的比例。揮發性的蝕刻及/或沉積副產物可自下子腔室703通過埠722而移除。此處揭示的卡盤717可以在約10℃和約850℃之間範圍之升高的溫度操作。溫度將取決於製程操作及特定的配方。
在設施(未顯示)係安裝於無塵室或製造設施中時,腔室701可耦接至該設施。該設施包含提供處理氣體、真空、溫度控制、及環境粒子控制的管路。當這些設施係安裝在目標製造設施中時,該等設施係耦接至腔室701。此外,腔室701可耦接至轉移腔室,該轉移腔室允許機器人使用典型自動化技術將半導體晶圓傳送進出腔室701。
在一些實施例中,系統控制器730(其可包含一或多個物理或邏輯控制器)控制處理腔室的一些或全部操作。系統控制器730可包含以上關於電腦控制器650所描述的任何一或多個特性。
圖8描繪具有各種模組的半導體製程群組架構,該等模組與真空傳送模組838(VTM)介接。在多個儲存設備及處理模組之中「傳送」晶圓之傳送模組的配置可被稱為「群組工具架構」系統。氣室830(亦稱為裝載鎖定部或傳送模組)係在伴隨四個處理模組820a-820d的VTM 838中加以顯示,該等處理模組820a-820d可個別地最佳化以執行各種製造製程。舉例而言,可實施處理模組820a-820d以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺鍍、及/或其他半導體處理。在一些實施例中,ALD及選擇性蝕刻係在相同的模組內執行。在一些實施例中,ALD及選擇性蝕刻係在相同工具的不同模組內執行。基板蝕刻處理模組的一或多者(820a-820d的任一者)可如此處所揭示加以實施,即,用於沉積保形膜、藉由ALD選擇性地沉積膜、蝕刻圖案、及其他根據所揭示的實施例之適合的功能。氣室830及處理模組820可被稱為「工作站」。各工作站具有將該工作站與VTM 838介接的面部(facet)836。在各面部的內部,感測器1-18係用以當晶圓826在個別的工作站之間移動時偵測其通過。
機器人822在工作站之間傳送晶圓826。在一實施例中,機器人822具有一手臂,而在另一實施例中,機器人822具有二手臂,其中各手臂具有末端執行器824以拾取晶圓(諸如晶圓826)以供傳輸。在大氣傳送模組(ATM)840中的前端機器人832係用以將晶圓826由裝載埠模組(LPM)842中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)834傳送至氣室830。在處理模組820內部的模組中心828係用於放置晶圓826的一個位置。在ATM 840中的對準器844係用以對準晶圓。
在一示例性的處理方法中,晶圓係放置於LPM 842中之FOUP 834的其中一者內。前端機器人832將晶圓由FOUP 834傳送至對準器844,該對準器844允許晶圓826在被蝕刻或被處理之前正確地置中。在經過對準之後,晶圓826係藉由前端機器人832移動進入氣室830。由於氣室模組具有使ATM與VTM之間的環境相匹配的能力,因此晶圓826係能夠在不受損害的情況下於二種壓力環境之間移動。從氣室模組830,藉由機器人822將晶圓826經由VTM 838移動進入處理模組820a-820d的其中一者。為達成此晶圓移動,機器人822使用在其各手臂上的末端執行器824。一旦晶圓826已被處理,其係藉由機器人822自處理模組820a-820d移動至氣室模組830。由此,晶圓826可由前端機器人832移動至FOUP 834的其中一者或對準器844。
應注意控制晶圓移動的電腦對於群組結構可為本地的、或可設在生產樓層(manufacturing floor)中之群組結構的外部、或位於遠端位置中並經由網路連接至群組結構。以上關於圖6描述的控制器可與圖8的工具一起執行。 實驗 實驗1
針對蝕刻三種矽氧化物膜執行實驗。第一矽氧化物膜係藉由循環地重複下列步驟沉積:(1)含矽前驅物的劑量;(2)吹洗;(3)1.5 sccm流率的氧劑量及以900 W功率點燃的電漿0.4秒的持續時間;及(4)吹洗。在50℃下執行沉積。此基板係在下列表1中標示為基板1。
第二矽氧化物膜係藉由循環地重複下列步驟沉積:(1)含矽前驅物的劑量;(2)吹洗;(3)2 sccm流率的氧劑量及以900 W功率點燃的電漿0.2秒的持續時間;及(4)吹洗。在30℃下執行沉積。此基板係在下列表1中標示為基板2。
第三矽氧化物膜係藉由循環地重複下列步驟沉積:(1)含矽前驅物的劑量;(2)吹洗;(3)2 sccm流率的氧劑量及以300 W功率點燃的電漿0.2秒的持續時間;及(4)吹洗。在30℃下執行沉積。此基板係在下列表1中標示為基板3。
該三種膜係在具有30毫托之腔室壓力的腔室內被蝕刻,使用具有700 MHz的LF頻率、1200 MHz的HF頻率之脈衝雙頻RF電漿37秒,且同時以8 sccm的流率流動C4 F6 、以12 sccm的流率流動O2 、以6 sccm的流率流動C4 F8 、及以500 sccm的流率流動Ar。測量蝕刻率並顯示於下列表1中。 表1 實驗1結果
這些結果顯示降低RF開啟時間、溫度、及RF功率增加蝕刻率,其從而增加矽氧化物對含碳芯材料的蝕刻選擇性。 結論
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及設備。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例不限於此處提供的細節。
1‧‧‧處理工作站
2‧‧‧處理工作站
3‧‧‧處理工作站
4‧‧‧處理工作站
100‧‧‧基板
102‧‧‧目標層
104‧‧‧間隔件材料
106‧‧‧芯材
108‧‧‧間隙填充材料
114‧‧‧間隔件材料
116‧‧‧芯材
118‧‧‧間隙填充材料
201‧‧‧操作
203‧‧‧操作
204‧‧‧操作
205‧‧‧操作
207‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
309‧‧‧操作
311‧‧‧操作
400‧‧‧基板
402‧‧‧目標層
404‧‧‧間隔件材料
404b‧‧‧間隔件材料
406‧‧‧芯材
408‧‧‧間隙填充含碳層
416‧‧‧間隙填充含碳材料
496‧‧‧芯材
498‧‧‧間隙填充含碳材料
500‧‧‧處理工作站
501a‧‧‧反應物遞送系統
502‧‧‧處理腔室
503‧‧‧汽化點
504‧‧‧混合容器
506‧‧‧噴淋頭
508‧‧‧底座
510‧‧‧加熱器
512‧‧‧基板
514‧‧‧射頻(RF)電源
516‧‧‧匹配網路
518‧‧‧蝶形閥
520‧‧‧混合容器入口閥
550‧‧‧控制器
600‧‧‧處理工具
602‧‧‧入站裝載鎖定部
604‧‧‧出站裝載鎖定部
606‧‧‧機器人
608‧‧‧晶圓傳送盒
610‧‧‧大氣埠
612‧‧‧底座
614‧‧‧處理腔室
616‧‧‧腔室傳送埠
618‧‧‧底座
650‧‧‧控制器
652‧‧‧處理器
654‧‧‧大量儲存裝置
656‧‧‧記憶體裝置
658‧‧‧系統控制軟體
690‧‧‧晶圓搬運系統
700‧‧‧設備
701‧‧‧腔室
702‧‧‧上子腔室
703‧‧‧下子腔室
711‧‧‧窗
717‧‧‧卡盤
719‧‧‧晶圓
721‧‧‧匹配電路
722‧‧‧埠
723‧‧‧RF電源
725‧‧‧連接件
727‧‧‧連接件
730‧‧‧系統控制器
733‧‧‧線圈
739‧‧‧匹配電路
740‧‧‧幫浦
741‧‧‧RF電源
743‧‧‧連接件
745‧‧‧連接件
749‧‧‧法拉第屏蔽
750‧‧‧格柵
760‧‧‧氣體流入口
770‧‧‧側氣體流入口
820a‧‧‧處理模組
820b‧‧‧處理模組
820c‧‧‧處理模組
820d‧‧‧處理模組
822‧‧‧機器人
824‧‧‧末端執行器
826‧‧‧晶圓
828‧‧‧模組中心
830‧‧‧氣室(模組)
832‧‧‧前端機器人
834‧‧‧前開式晶圓傳送盒(FOUP)
836‧‧‧面部
838‧‧‧真空傳送模組(VTM)
840‧‧‧大氣傳送模組(ATM)
842‧‧‧裝載埠模組(LPM)
844‧‧‧對準器
圖1A-1E係經歷負型自對準雙重圖案化方案之基板的示意圖。
圖2及3係描繪用於根據某些所揭示實施例之方法的操作之製程流程圖。
圖4A-4C係經歷根據某些所揭示實施例之負型自對準雙重圖案化方案之基板的示意圖。
圖5及7係用於執行某些所揭示實施例之一示例處理腔室的示意圖。
圖6及8係用於執行某些所揭示實施例之一示例處理工具的示意圖。

Claims (18)

  1. 一種使用負型圖案化使基板圖案化的方法,該方法包含: (a) 在芯材上保形地沉積間隔件,該間隔件藉由一或多原子層沉積循環加以沉積,各原子層沉積循環包含: (i) 將該基板曝露於沉積前驅物,及 (ii) 將該基板曝露於氧化劑且點燃電漿;及 (b) 藉由在以相較於該芯材之速率快至少六倍的速率蝕刻該間隔件的條件下選擇性地蝕刻該間隔件,而形成用於圖案化該基板的一遮罩。
  2. 如申請專利範圍第1項之使用負型圖案化使基板圖案化的方法,其中,該芯材包含碳。
  3. 如申請專利範圍第1項之使用負型圖案化使基板圖案化的方法,其中,沉積該間隔件的步驟包含使用選自由下列者所組成之群組的一技術: (1) 在各循環中將該基板曝露於該電漿少於約300 ms的持續時間; (2) 以小於約0.2 W/cm2 之基板有效表面積之射頻功率密度將該基板曝露於電漿;及 (3) 將該基板曝露於自具有至少約1:12之氬對氧化劑之比例之處理氣體產生的電漿。
  4. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,該間隔件包括含矽材料。
  5. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,更包含在DRAM的製造期間藉由該遮罩蝕刻該基板。
  6. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,該間隔件具有約1.4和約1.5之間的反射率。
  7. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,該間隔件係沉積至約10 nm和約30 nm之間的厚度。
  8. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,選擇性地蝕刻該間隔件的步驟包含將該基板曝露於氟碳化物蝕刻劑。
  9. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,該間隔件係在約50℃和約200℃的溫度下加以沉積。
  10. 如申請專利範圍第1-3項其中任一者之使用負型圖案化使基板圖案化的方法,其中,該間隔件具有約4和6之間的介電常數。
  11. 如申請專利範圍第2項之使用負型圖案化使基板圖案化的方法,其中,該芯材係選自由旋塗碳、類鑽碳、及間隙填充可灰化硬遮罩所組成之群組。
  12. 如申請專利範圍第5項之使用負型圖案化使基板圖案化的方法,其中所選擇的該技術係(3),且其中該氧化劑係以約0.5 slm和約3 slm之間的流率流動。
  13. 如申請專利範圍第7項之使用負型圖案化使基板圖案化的方法,其中,該間隔件包含矽氧化物。
  14. 一種用於圖案化基板的設備,該設備包含: (a) 一或多個處理腔室; (b) 進入該一或多個處理腔室的一或多個氣體入口和相關聯的流量控制硬體; (c) 一低頻射頻(LFRF)產生器; (d) 一高頻射頻(HFRF)產生器;及 (e) 一控制器,具有至少一處理器及一記憶體,其中 該至少一處理器和該記憶體係彼此通訊連接, 該至少一處理器係與該流量控制硬體、該LFRF產生器、和該HFRF產生器至少操作上連接,及 該記憶體儲存電腦可執行的指令,用於控制該至少一處理器以至少控制該流量控制硬體、該HFRF產生器、及該LFRF產生器,以用於 (i) 在該一或多個處理腔室之其中一者中所容納的一基板上將間隔件保形地沉積在芯材上,該間隔件係藉由一或多個原子層沉積循環沉積,各原子層沉積循環包含: 1)流動沉積前驅物,及 2)流動氧化劑和點燃電漿;及 (ii) 在以相較於該芯材之速率快至少六倍的速率蝕刻該間隔件的條件下相對於該芯材選擇性地蝕刻該間隔件。
  15. 如申請專利範圍第14項之用於圖案化基板的設備,其中,沉積該間隔件的指令包含在各循環中點燃電漿少於約300 ms之持續時間的指令。
  16. 如申請專利範圍第14項之用於圖案化基板的設備,其中,沉積該間隔件的指令包含以小於約0.2 W/cm2 的基板有效表面積之射頻功率密度點燃電漿的指令。
  17. 如申請專利範圍第14項之用於圖案化基板的設備,其中,沉積該間隔件的指令包含當在(i)(2)中流動該氧化劑且點燃電漿時,以至少約1:12之比例將氬與該氧化劑流至該一或多個處理腔室之該其中一者的指令。
  18. 如申請專利範圍第14-17項其中任一者之用於圖案化基板的設備,其中,該處理腔室包含用於固持一基板的一底座,該底座的溫度設在約50℃和約200℃之間。
TW106129284A 2016-08-31 2017-08-29 用於半導體圖案化應用之高度乾蝕刻率材料 TW201820461A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/253,546 2016-08-31
US15/253,546 US10074543B2 (en) 2016-08-31 2016-08-31 High dry etch rate materials for semiconductor patterning applications

Publications (1)

Publication Number Publication Date
TW201820461A true TW201820461A (zh) 2018-06-01

Family

ID=61243346

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106129284A TW201820461A (zh) 2016-08-31 2017-08-29 用於半導體圖案化應用之高度乾蝕刻率材料

Country Status (6)

Country Link
US (1) US10074543B2 (zh)
JP (1) JP2018074145A (zh)
KR (1) KR102474327B1 (zh)
CN (1) CN107799390B (zh)
SG (1) SG10201706963VA (zh)
TW (1) TW201820461A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788584B (zh) * 2018-07-20 2023-01-01 荷蘭商Asm Ip 控股公司 循環蝕刻製程
TWI811753B (zh) * 2020-08-05 2023-08-11 日商愛發科股份有限公司 矽之乾蝕刻方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210078569A (ko) * 2018-11-16 2021-06-28 램 리써치 코포레이션 기포 결함 감소
TWI837391B (zh) 2019-06-26 2024-04-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
KR102601038B1 (ko) * 2020-07-07 2023-11-09 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
CN113201727B (zh) * 2021-04-28 2023-02-28 錼创显示科技股份有限公司 半导体晶圆承载结构及有机金属化学气相沉积装置
WO2024073220A1 (en) * 2022-09-28 2024-04-04 Lam Research Corporation Layered metal oxide-silicon oxide films

Family Cites Families (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
KR100742473B1 (ko) 1999-11-02 2007-07-25 동경 엘렉트론 주식회사 제 1 및 제 2 소재를 초임계 처리하는 장치 및 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100693691B1 (ko) 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) * 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101057877B1 (ko) 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
TWI489547B (zh) 2007-09-18 2015-06-21 Air Liquide 形成含矽膜的方法
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
EP2730676A1 (en) 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR101923167B1 (ko) 2011-04-07 2018-11-29 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) * 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788584B (zh) * 2018-07-20 2023-01-01 荷蘭商Asm Ip 控股公司 循環蝕刻製程
TWI811753B (zh) * 2020-08-05 2023-08-11 日商愛發科股份有限公司 矽之乾蝕刻方法

Also Published As

Publication number Publication date
CN107799390A (zh) 2018-03-13
KR102474327B1 (ko) 2022-12-05
US20180061650A1 (en) 2018-03-01
US10074543B2 (en) 2018-09-11
KR20180025247A (ko) 2018-03-08
SG10201706963VA (en) 2018-03-28
JP2018074145A (ja) 2018-05-10
CN107799390B (zh) 2021-10-12

Similar Documents

Publication Publication Date Title
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
JP7453958B2 (ja) チャンバからSnO2膜を洗浄するための方法
CN115244666A (zh) 钼的原子层蚀刻
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
US20240038539A1 (en) Selective processing with etch residue-based inhibitors