KR20220084153A - SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착 - Google Patents

SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착 Download PDF

Info

Publication number
KR20220084153A
KR20220084153A KR1020227016725A KR20227016725A KR20220084153A KR 20220084153 A KR20220084153 A KR 20220084153A KR 1020227016725 A KR1020227016725 A KR 1020227016725A KR 20227016725 A KR20227016725 A KR 20227016725A KR 20220084153 A KR20220084153 A KR 20220084153A
Authority
KR
South Korea
Prior art keywords
silicon
substrate
plasma
nitrogen
exposing
Prior art date
Application number
KR1020227016725A
Other languages
English (en)
Inventor
에릭 에이. 허드슨
치아-춘 왕
서밋 아그라왈
라이언 제임스 가스보다
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220084153A publication Critical patent/KR20220084153A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/002Pretreatement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘-및-산소-함유 재료에 대하여 실리콘-및-질소-함유 재료 상에 탄소-함유 자기-조립된 단층을 선택적으로 형성함으로써 실리콘-및-질소-함유 재료에 대하여 실리콘-및-산소-함유 재료를 선택적으로 에칭하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 또한 실리콘-및-산소-함유 재료에 대하여 실리콘-및-질소-함유 재료를 선택적으로 에칭하기 위해 적용 가능하다.

Description

SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 자기-조립된 단층 전구체 (self-assembled monolayer precursor) 의 사용에 관한 것이다.
반도체 제조 프로세스들은 또 다른 실리콘-함유 재료에 대해 실리콘-함유 재료를 에칭하는 것을 포함할 수도 있는 자기-정렬된 콘택트들과 같은 복잡한 구조체들의 제조 및 패터닝을 수반한다. 디바이스들이 축소됨에 따라, 이러한 재료들을 높은 선택도로 에칭하는 것이 과제가 된다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 명시적 또는 암시적 종래 기술을 구성하지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 개시는 특정한 표면에 선택적으로 부착하기 위한 탄소-함유 자기-조립된 단층 (self-assembled monolayer; SAM) 전구체의 사용에 관한 것이다. 일 실시 예에서, SAM 전구체는 실리콘-및-산소-함유 표면과 비교하여, 실리콘-및-질소-함유 표면에 선택적으로 부착된다. 또 다른 실시 예에서, SAM 전구체는 실리콘-및-질소-함유 표면과 비교하여, 실리콘-및-산소-함유 표면에 선택적으로 부착된다. 이러한 방식으로, SAM 전구체는 기능화된, 보호된 표면을 제공할 수 있다.
따라서, 일 양태에서, 본 개시는: 실리콘-및-질소-함유 표면 및 실리콘-및-산소-함유 표면을 갖는 기판을 제공하는 단계; 보호된 표면 및 기능화되지 않은 (non-functionalized) 표면을 형성하도록 기판을 탄소-함유 SAM 전구체에 노출시키는 단계; 및 보호된 표면을 포함하는 기판을 기능화되지 않은 표면을 에칭하기 위한 프로세스에 노출시키는 단계를 포함하는, 기판들을 프로세싱하기 위한 방법을 포괄한다.
일부 실시 예들에서, 기판을 탄소-함유 SAM 전구체에 노출하는 상기 단계는 비플라즈마 분위기의 사용을 포함한다. 다른 실시 예들에서, 탄소-함유 SAM 전구체는 보호된 실리콘-및-질소-함유 표면을 형성하도록 실리콘-및-질소-함유 표면에 선택적으로 부착되고, 이어서 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-산소-함유 표면을 포함한다. 또 다른 실시 예들에서, 탄소-함유 SAM 전구체는 보호된 실리콘-및-산소-함유 표면을 형성하도록 실리콘-및-산소-함유 표면에 선택적으로 부착되고, 이어서 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-질소-함유 표면을 포함한다.
일부 실시 예들에서, 방법은: 기능화되지 않은 표면을 에칭하기 위한 프로세스에 기판을 노출하는 단계 후에 기판을 탄소-함유 SAM 전구체에 노출하는 단계를 반복하는 단계를 더 포함한다. 이러한 반복은 사이클들의 사용을 포함할 수 있거나 시간적으로 분리된 교번하는 펄스들로 수행될 수 있다.
일부 실시 예들에서, 기능화되지 않은 표면을 에칭하기 위한 프로세스에 기판을 노출시키는 단계는 원자 층 에칭 및/또는 연속적인 에칭을 수행하는 단계를 포함한다. 다른 실시 예들에서, 기능화되지 않은 표면을 에칭하기 위한 프로세스에 기판을 노출시키는 단계는: (i) 반응성 층을 형성하기 위해 플루오로카본 플라즈마로부터 생성된 플라즈마 반응성 종에 기판을 노출하는 단계; 및 (ii) 반응성 층을 제거하기 위해 기판을 활성화 플라즈마에 노출시키는 단계를 포함한다. 단계 (i) 및 단계 (ii) 에서의 이러한 노출은 (예를 들어, 사이클들로) 반복되거나 시간적으로 분리된 교번하는 펄스들로 수행될 수 있다. 플루오로카본 플라즈마는 C4F6, C4F8, 퍼플루오로카본, 플루오로하이드로카본, 및 이들의 조합들로부터 선택된 플루오로카본으로부터 생성될 수 있다. 활성화 플라즈마는 아르곤 또는 헬륨과 같은 불활성 가스로부터 생성될 수 있다.
일부 실시 예들에서, 기판을 탄소-함유 SAM 전구체에 노출시키는 단계는 약 -40 ℃ 내지 약 550 ℃의 웨이퍼 온도; 및/또는 약 0.1 ML 내지 약 500 ML의 도즈로 수행된다.
일부 실시 예들에서, 기판을 탄소-함유 SAM 전구체에 노출하는 단계 및 기판을 프로세스에 노출하는 단계는 진공을 파괴하지 않고 수행된다. 다른 실시 예들에서, 기판을 탄소-함유 SAM 전구체에 노출하는 단계 및 기판을 프로세스에 노출하는 단계는 동일한 반응기에서 수행된다. 특정한 실시 예들에서, 프로세스는 플루오로카본 플라즈마를 도입하는 것을 포함한다.
일부 실시 예들에서, 방법은 (예를 들어, 기판을 탄소-함유 SAM 전구체에 노출하기 전에): 플라즈마 분위기에서 기판을 처리 가스에 노출하는 단계를 더 포함한다. 비제한적인 처리 가스는 아르곤, 질소, 수소, 헬륨, 및 이들의 조합들을 포함한다. 특정한 실시 예들에서, 기판을 플라즈마 분위기에서 처리 가스에 노출시키는 단계는 RF (radio frequency) 바이어스를 인가하는 것 (예를 들어, 5 W 내지 150 W로 전력 공급된 RF 바이어스) 을 포함한다.
일부 실시 예들에서, 실리콘-및-질소-함유 표면은 제 1 측벽 표면을 포함하고, 실리콘-및-산소-함유 표면은 제 2 측벽 표면을 포함하고, 보호된 표면은 보호된 측벽 표면을 포함한다. 특정한 실시 예들에서, 보호된 측벽 표면은 제 1 측벽 표면을 포함하여, 보호된 제 1 측벽 표면을 제공한다. 일부 실시 예들에서, 에칭하기 위한 프로세스는 제 1 측벽 표면 및 제 2 측벽 표면을 포함하는 피처를 통한 수직 에칭을 포함한다. 다른 실시 예들에서, 상기 수직 에칭은 보호된 제 1 측벽 표면 및 기능화되지 않은 제 2 측벽 표면 (예를 들어, 기능화되지 않은 제 2 측벽 표면을 포함함) 의 존재시 수행된다. 다른 실시 예들에서, 피처는 적어도 약 20:1의 종횡비를 갖는다.
제 2 양태에서, 본 개시는 기판들을 프로세싱하기 위한 장치를 특징으로 한다. 일부 실시 예들에서, 장치는: 각각 척 또는 페데스탈을 포함하는 적어도 하나의 반응기; 적어도 하나의 반응기 및 플로우 제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함한다. 다른 실시 예에서, 적어도 하나의 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작 가능하게 연결되고, 그리고 메모리는 적어도 본 명세서의 임의의 방법을 수행하도록 플로우 제어 하드웨어를 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장한다. 특정한 실시 예들에서, 컴퓨터-실행 가능 인스트럭션들은 적어도 기판 상에 보호된 표면 및 기능화되지 않은 표면 모두를 형성하도록 기판 상의 탄소-함유 SAM의 형성을 유발하고; 보호된 표면과 비교하여, 기판 상의 기능화되지 않은 표면의 에칭을 유발하도록 플로우-제어 하드웨어를 제어하기 위해 적어도 하나의 프로세서를 제어하기 위한 인스트럭션들을 포함한다. 일부 실시 예에서, 에칭은 원자 층 에칭 및/또는 연속적인 에칭을 포함한다. 다른 실시 예들에서, 보호된 표면은 보호된 실리콘-및-질소-함유 표면을 포함하고, 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-산소-함유 표면을 포함한다. 특정한 실시 예들에서, 보호된 표면은 보호된 실리콘-및-산소-함유 표면을 포함하고, 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-질소-함유 표면을 포함한다
일부 실시 예들에서, 메모리는 탄소-함유 SAM의 형성을 유발하고 진공을 파괴하지 않고 수행되도록 기능화되지 않은 표면의 에칭을 유발하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
제 3 양태에서, 본 개시는 기판들을 프로세싱하기 위한 또 다른 방법을 특징으로 한다. 일부 실시 예들에서, 방법은: 실리콘-및-질소-함유 측벽 표면 및 실리콘-및-산소-함유 측벽 표면을 포함하는 피처를 갖는 기판을 제공하는 단계; 보호된 측벽 표면 (예를 들어, 보호된 실리콘-및-질소-함유 측벽 표면 또는 보호된 실리콘-및-산소-함유 측벽 표면) 을 형성하기 위해 비플라즈마 분위기의 탄소-함유 SAM 전구체에 기판을 노출하는 단계; 및 보호된 측벽 표면을 포함하는 기판을 (예를 들어, 피처를 통해) 수직 에칭을 위한 프로세스에 노출시키는 단계를 포함한다. 일부 실시 예들에서, 피처는 적어도 약 20:1의 종횡비를 갖는다.
일부 실시 예들에서, 기판을 탄소-함유 SAM 전구체에 노출시키는 것은 비플라즈마 분위기를 포함한다. 다른 실시 예들에서, 탄소-함유 SAM 전구체는 보호된 실리콘-및-질소-함유 측벽 표면을 형성하도록 실리콘-및-질소-함유 측벽 표면에 선택적으로 부착된다. 또 다른 실시 예들에서, 탄소-함유 SAM 전구체는 보호된 실리콘-및-산소-함유 측벽 표면을 형성하도록 실리콘-및-산소-함유 측벽 표면에 선택적으로 부착된다.
본 명세서의 임의의 실시 예에서, 에칭은 원자 층 에칭 및/또는 연속적인 에칭을 포함한다.
본 명세서의 임의의 실시 예에서, 플루오로카본 플라즈마는 C4F6, C4F8, 퍼플루오로카본, 플루오로하이드로카본, 및 이들의 조합들로부터 선택된 플루오로카본으로부터 생성된다. 플루오로카본 플라즈마는 선택 가능하게 아르곤을 포함할 수 있다.
본 명세서의 임의의 실시 예에서, 활성화 플라즈마는 아르곤, 헬륨, 또는 또 다른 불활성 가스를 포함한다.
본 명세서의 임의의 실시 예에서, 탄소-함유 SAM 전구체는 실리콘-및-산소-함유 표면에 대해 실리콘-및-질소-함유 표면과 보다 큰 반응성을 갖는 헤드기 (head group) 를 포함한다. 비제한적인 SAM 전구체들은 1-헥사날, 3,5,5-트리메틸헥사날, 벤즈알데하이드, 및 수소 원자들을 치환한 하나 이상의 불소 원자들을 갖는 벤즈알데하이드의 유도체들을 포함하는 알데하이드들; 케톤; 및 페닐 이소티오시아네이트 및 헥실 이소티오시아네이트를 포함하는 이소티오시아네이트들 (isothiocyanates) 을 포함한다.
본 명세서의 임의의 실시 예에서, 탄소-함유 SAM 전구체는 실리콘-및-질소-함유 표면에 대해 실리콘-및-산소-함유 표면과 보다 큰 반응성을 갖는 헤드기를 포함한다. 비제한적인 SAM 전구체는 실란들, 옥시실란들, 고리형 아자실란들, 또는 고리형 티오실란들을 포함한다.
본 명세서의 임의의 실시 예에서, 탄소-함유 SAM 전구체는 다음을 포함한다: R1-C(O)-R2 또는 R1-NCS로서, 여기서 R1은 유기 모이어티를 포함하고, 그리고 R2는 수소 (H) 이거나 유기 모이어티를 포함하고, 여기서 R1 및 R2는 동일하거나 상이할 수 있다. 일부 실시 예들에서, R2는 H이다. 다른 실시 예들에서, R1 및/또는 R2 각각은 독립적으로, 선택 가능하게 치환된 지방족 (aliphatic), 선택 가능하게 치환된 헤테로지방족 (heteroaliphatic), 선택 가능하게 치환된 지환족 (cycloaliphatic), 선택 가능하게 치환된 사이클로헤테로지방족, 또는 선택 가능하게 치환된 방향족이거나 이를 포함한다. 또 다른 실시 예들에서, R1은 분지형 하이드로카본, 선형 하이드로카본, 또는 방향족을 포함한다. 특정한 실시 예들에서, R1은 하나 이상의 할로 치환들 또는 하나 이상의 할로알킬 치환들을 포함한다.
본 명세서의 임의의 실시 예에서, 탄소-함유 SAM 전구체는 다음을 포함한다: SiR3R4R5R6 또는
Figure pct00001
이고, 여기서 Z는 -S-, -O-, 또는 -NR7-일 수 있고; 여기서 R3, R4, R5, R6, 및 R7 각각은 독립적으로 H, 할로, 또는 유기 모이어티이거나 이를 포함하고; 고리 a는 선택 가능하게 치환될 수 있고; n은 1 내지 5의 정수이다. 부가적인 탄소-및-실리콘-함유 SAM 전구체들이 본 명세서에 기술된다.
본 명세서의 임의의 실시 예에서, 탄소-함유 SAM 전구체는 본 명세서에 기술된 임의의 것과 같은 유기 금속 (organometallic) 전구체, 유기 준금속 (organometalloid) 전구체, 또는 유기 셀레늄 (organoselenium) 전구체를 포함한다. 일부 실시 예들에서, 유기 금속 전구체, 유기 준금속 전구체, 또는 유기 셀레늄 전구체는 알데하이드 작용기, 케톤 작용기, 이소티오시아네이트 작용기, 또는 실란 작용기를 더 포함한다. 다른 실시 예들에서, 전구체는 다음의 원자들: 티타늄 (Ti), 몰리브덴 (Mo), 갈륨 (Ga), 인듐 (In), 주석 (Sn), 실리콘 (Si), 게르마늄 (Ge), 비소 (As), 안티몬 (Sb), 텔루륨 (Te), 또는 셀레늄 (Se) 중 임의의 원자를 포함한다.
본 명세서의 임의의 실시 예에서, 보호된 실리콘-및-질소-함유 표면은 아르곤 플라즈마에 노출될 때 실리콘-및-질소-함유 표면의 활성화를 방지한다. 비제한적인 실리콘-및-질소-함유 표면은 실리콘 옥시나이트라이드, 실리콘 카보나이트라이드, 수소-종단된 실리콘 나이트라이드, 이들의 도펀트 버전들, 및 이들의 조합들로부터 선택된 재료를 포함한다. 일부 실시 예들에서, 실리콘-및-질소-함유 표면은 -NHx기들을 포함한다. 다른 실시 예들에서, 실리콘-및-질소-함유 표면은 붕소, 인, 및 이들의 조합들로 구성된 그룹으로부터 선택된 도펀트를 포함한다.
본 명세서의 임의의 실시 예에서, 실리콘-및-산소-함유 표면은 다공성이거나 비다공성일 수 있다. 특정한 실시 예들에서, 실리콘-및-산소-함유 표면은 로우-k 유전체를 포함한다.
도 1a 내지 도 1c는 (A) 에칭 정지 층 (Fin/STI) (110), 게이트 스택들 (111a/111b/111c/111d), 실리콘 나이트라이드 (112a/112b/112c/112d), 실리콘 옥사이드 (113a/113d), 및 포토 마스크 (114a/114d) 를 갖는 비제한적인 기판 (101); (B) 에칭 정지 층 (Fin/STI) (120), 게이트 스택들 (121a/121b/121c/121d), 실리콘 나이트라이드 (122a/122b/122c/122d), 실리콘 옥사이드 (123a/123d), 및 포토 마스크 (124a/124d) 를 갖는 또 다른 비제한적인 기판 (102a); 및 (C) 추가의 비제한적인 기판 (102b) 을 포함하는 기판들의 예시적인 개략적 예시들을 도시한다.
도 2a 내지 도 2c는 (A) 기능화되지 않은 실리콘 나이트라이드 표면들; (B) 에칭 프로세스들에 노출된 기능화된, 보호된 실리콘 나이트라이드 표면들; 및 (C) 에칭 프로세스들에 노출된 기능화된, 보호된 실리콘 옥사이드 표면들을 갖는 기판들의 개략적인 예시들을 도시한다.
도 3a는 특정한 개시된 실시 예들에 따라 수행된 동작들의 프로세스 흐름도이다.
도 3b는 에칭 프로세스에서 기판들의 개략적인 예시이다.
도 3c는 특정한 개시된 실시 예들에 따라 수행된 동작들의 또 다른 프로세스 흐름도이다.
도 4는 상이한 알데하이드들에 노출된 실리콘 나이트라이드 및 실리콘 옥사이드에 대한 적외선 흡광도 변화를 비교하는 실험 데이터를 도시한다.
도 5는 원자 층 에칭의 사이클들에 노출된 실리콘 나이트라이드 및 원자 층 에칭의 사이클들에 노출된 벤즈알데하이드로 기능화된 실리콘 나이트라이드에 대한 적외선 흡광도 변화를 도시하는 실험 데이터를 도시한다.
도 6은 원자 층 에칭 사이클들의 함수로서 벤즈알데하이드로 기능화된 실리콘 나이트라이드 대 실리콘 나이트라이드에 대한 상대적인 제거량을 도시한다.
도 7은 상이한 표면들 상에서 벤즈알데하이드 흡수 (uptake) 를 측정하는, 적외선 흡광도 강도를 비교하는 실험 데이터를 도시한다.
도 8은 벤즈알데하이드로 기능화되거나 베어 (bare) 인 실리콘 옥사이드 표면 및 실리콘 나이트라이드 표면에 대한 막 두께의 변화를 도시한다. 순 (net) 에칭은 원자 층 에칭 사이클들의 함수로서 제공된다.
도 9a 및 도 9b는 (A) 비제한적인 알데하이드 (3,5,5-트리메틸헥사날) 및 (B) 비제한적인 고리형 아자실란 (N-메틸-아자-2,2,4-트리메틸실라사이클로펜탄) 에 노출된 실리콘 나이트라이드 및 실리콘 옥사이드에 대한 적외선 흡광도 변화를 비교하는 실험 데이터를 도시한다.
도 10은 특정한 실시 예들에 따라 본 명세서에 기술된 프로세스들을 수행하도록 사용될 수도 있는 예시적인 반응기들을 도시한다.
도 11은 특정한 구현 예들에서 프로세스들을 수행하도록 사용될 수도 있는 멀티-스테이션 장치를 도시한다.
도 12a 내지 도 12c는 특정한 실시 예들에 따라 본 명세서에 기술된 프로세스들을 수행하도록 사용될 수도 있는 추가의 예시적인 반응기들을 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들, 등과 같은 다양한 물품들을 포함한다.
반도체 제조 프로세스들은 또 다른 실리콘-함유 재료에 대해 (relative to) 실리콘-함유 재료의 선택적인 에칭을 수반할 수도 있다. 일부 경우들에서, 제조 프로세스는 실리콘-및-질소-함유 재료에 대한 실리콘-및-산소-함유 재료의 에칭을 수반할 수도 있고, 일부 적용 예들에서, 높은 선택도가 바람직할 수도 있다. 일 예시적인 적용 예는 패터닝 스킴들의 적용 예이다.
또 다른 예시적인 적용 예는 자가 정렬된 콘택트들 (contacts) 의 제조의 적용 예이다. 진보된 로직 노드들에 대해, 작은 임계 치수 (critical dimension) 콘택트들은 실리콘 나이트라이드에 대한 높은 선택도로 실리콘 옥사이드 막 내로 에칭된다. 작은 임계 치수 콘택트들은 약 20 ㎚ 미만의 임계 치수를 가질 수도 있다. 자기-정렬된 콘택트의 제조를 위해, 정렬하는 실리콘 나이트라이드의 코너부의 보호 부재는 디바이스 결함들을 발생시킨다.
에칭 동안, 매립된 실리콘-및-질소-함유 재료는 실리콘-및-질소-함유 재료 위에 놓인 실리콘-및-산소-함유 재료의 에칭 동안 노출되고 실리콘-및-산소-함유 재료의 에칭 동안 실리콘-및-질소-함유 재료의 노출은 실리콘-및-질소-함유 재료의 열화 및 재료 손실 (일부 경우들에서, "나이트라이드 손실"로 지칭됨) 을 발생시키고, 이는 디바이스에 유해할 수 있고 웨이퍼를 쓸모 없어 지게 한다. 용어 "나이트라이드 손실"이 본 명세서에서 사용될 수도 있지만, "나이트라이드" 재료는 실리콘 및 질소에 더하여 다른 원소들, 예컨대 수소를 포함할 수도 있다는 것이 이해될 것이다.
코너부의 보호는 게이트 및 소스/드레인 콘택트의 전기적 절연을 유지한다. 보호 없이, 실리콘 옥사이드 에칭 후 처음 노출될 때 실리콘 나이트라이드 표면 상의 에칭의 초기 사이클들은 실리콘 나이트라이드 손실을 발생시킨다. 이러한 나이트라이드 손실을 방지하도록 사용된 다른 기법들 (techniques) 은 과도한 폴리머가 에칭을 차단하고, 피처의 핀칭 오프 (pinching off), 실리콘 옥사이드 에칭 프론트 (etch front) 상에 폴리머의 너무 많은 증착, 피처의 테이퍼링, 또는 피처들 사이의 증가된 깊이 로딩을 발생시킨다.
도 1a는 실리콘 나이트라이드 (112b) 아래의 게이트 스택 (111b) 의 노출을 발생시키는 실리콘 옥사이드를 에칭한 후 나이트라이드 손실 (112b/112c/112d에 대해 *로 표시됨) 이 발생하는 기판 (101) 의 예시적인 개략적인 예시를 도시한다. 이에 비해, 도 1b에서 알 수 있는 바와 같이, 실리콘 옥사이드 (123b/123c) 를 그 아래에 매립된 실리콘 나이트라이드 층 (122b/122c/122d) 의 표면까지 에칭한 후 목표된 기판 (102a) 의 개략적인 예시가 도시된다. 일부 경우들에서, 실리콘 나이트라이드 사이의 피처들에서 실리콘 옥사이드를 제거하도록 기판이 에칭될 때, 나이트라이드 손실이 관찰되어, 기판 (101) 에 도시된 바와 같이 패싯된 (facet) 영역들을 가질 수도 있는 기판을 발생시킨다. 도 1c에서, 실리콘 핀 (fin) (135) 을 갖는 최종 자기-정렬된 콘택트 (131), 스페이서 (134), 캡 (132), 및 게이트 (133) 를 도시하는 제 3 예시적인 3 차원 기판 (102b) 이 도시된다.
로직 및 패터닝 기법들의 다른 적용 예들은 또한 실리콘 나이트라이드 에칭에 대한 실리콘 옥사이드의 매우 높은 선택도를 수반할 수도 있고, 실리콘 나이트라이드는 마스크 층 또는 정지 층으로서 사용되거나 보다 복잡한 구성들에서 사용된다.
부가적으로, 고 종횡비 (high-aspect ratio; HAR) 에칭에서, 메모리 디바이스들의 형성 동안, 트렌치, 홀, 또는 보다 복잡한 형상일 수도 있는 에칭된 피처의 측벽에서 노출되는 실리콘 나이트라이드 층의 측방향 에칭의 방지가 바람직할 수도 있다. 예를 들어, DRAM 디바이스들을 위한 실린더형 홀들의 형성에서, 에칭 스택에 포함된 하나 이상의 실리콘 나이트라이드 층들이 있을 수도 있다. 이들 실리콘 나이트라이드 층들 중 하나를 통한 에칭 후, 실리콘 옥사이드는 측벽에서 노출된 실리콘 나이트라이드의 실질적인 측방향 에칭 없이 에칭될 수도 있다. 또 다른 예에서, 3D-NAND 디바이스들을 위한 트렌치들 및 실린더형 홀들의 형성에서, 교번하는 실리콘 옥사이드 층 및 실리콘 나이트라이드 층의 스택이 에칭될 수도 있다. 실리콘 나이트라이드 층의 측벽을 노출한 후, 노출된 실리콘 나이트라이드 층의 측방향 에칭을 방지하면서 스택의 에칭이 계속될 수도 있다. 노출된 실리콘 옥사이드 층들의 측방향 에칭의 방지가 또한 구현될 수도 있지만, 노출된 실리콘 나이트라이드 층들의 측방향 에칭의 방지보다 달성하기 보다 쉬울 수 있다. 이러한 타입들의 HAR 에칭 프로세스들의 이러한 적용 예들은 본 명세서에서 보호된 측벽 표면을 채용하는 "HAR 측벽 보호"로 지칭된다.
이들 적용 예들 중 일부에 대해, 옥사이드 재료의 원자 층 에칭 (atomic layer etching; ALE) 는 적합한 솔루션일 수도 있지만 디바이스들이 축소되기 때문에 단독으로 충분하지 않을 수도 있다. ALE는 순차적인 자기-제한 (self-limiting) 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. 원자 층 에칭 기법들의 예들은 2014 년 11 월 11 일 허여된 미국 특허 제 8,883,028 호; 및 2014 년 8 월 19 일 허여된 미국 특허 제 8,808,561 호에 기술되고, 이는 예시적인 원자 층 에칭 및 에칭 기법들을 기술할 목적들로 본 명세서에 참조로서 인용된다. 일반적으로, ALE는 플라즈마로 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
"ALE 사이클"의 개념은 본 명세서의 다양한 실시 예들의 논의와 관련된다. 일반적으로, ALE 사이클들은 단층을 에칭하는 것과 같은, 에칭 프로세스를 1 회 수행하도록 사용된 동작들의 최소 세트이다. 일부 경우들에서, ALE는 단층보다 많이 에칭한다. 일 ALE 사이클의 결과는 기판 표면 상의 막 층의 적어도 일부가 에칭되는 것이다. 통상적으로, ALE 사이클은 개질된 층 또는 반응성 층을 형성하기 위한 개질 또는 증착 동작, 이어서 이 개질된 층 또는 반응성 층만을 제거하거나 에칭하기 위한 제거 또는 활성화 (activation) 동작을 포함한다.
용어 "개질"이 반응성 층을 형성하도록 사용된 동작을 기술하도록 사용될 수도 있지만, 일부 실시 예들에서, 이러한 동작은 증착을 수반할 수도 있다. 용어 "증착"은 본 명세서에서 기판을 에칭할 가능성이 있는 화학 물질을 포함하는 표면 층의 증착을 유발하는 것을 의미하도록 사용된다. 용어 "제거"는 개질 또는 증착 동안 형성된 반응성 층을 제거하기 위해 사용된 동작을 기술하도록 사용될 수도 있지만, 일부 실시 예들에서, 이러한 동작은 "활성화"로 지칭될 수도 있다. 본 명세서에서 "활성화"는 증착된 표면 층으로 하여금 기판과 반응하게 하고, 기판 표면으로부터 제거되는 휘발성 에칭 생성물들을 생성하는 것을 의미하도록 사용된다. 일부 실시 예들에서, 활성화는 표면의 이온 충격을 생성하도록 플라즈마를 사용하여 달성된다. 활성화 동작의 순 효과는 증착된 표면 층 및 기판의 증가하는 깊이 모두를 제거하는 것이다.
ALE 사이클은 반응 물질들 또는 부산물들 중 하나를 퍼지하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음의 동작들: (i) 반응 물질 가스의 전달, (ii) 챔버로부터 반응 물질 가스의 퍼지, (iii) 제거 가스 및 선택 가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시 예들에서, 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다.
실리콘-및-산소-함유 재료의 ALE에서 나이트라이드 손실의 감소는 보다 낮은 활성화 이온 에너지, 보다 낮은 활성화 이온 플럭스, 보다 적은 활성화 시간, 보다 많은 폴리머 증착, 폴리머에서 보다 높은 C:F 비, 및 탄소 제거 가스들의 보다 적은 사용을 사용함으로써 완화될 수도 있다. 그러나, 이들 방법들은 또한 상이한 기하학적 구조를 갖는 피처들 사이에서 보다 느린 에칭, 랜덤 에칭 정지, 보다 불량한 측벽 테이퍼링, 및/또는 보다 큰 에칭 깊이 로딩 (loading) 을 유발하는 실리콘-및-산소-함유 표면에서 과도한 폴리머 축적 (buildup) 과 같은, 실리콘-및-산소-함유 재료의 에칭에 부정적으로 영향을 준다. 또 다른 부정적인 효과는 마스크 상의 과도한 증착일 수도 있고, 이는 피처들의 핀치 오프 및 차단된 에칭을 야기한다. 이들 부정적인 효과들은 집합적으로 "트레이드 오프들 (trade-offs)"로 기술될 수 있다.
실리콘-및-산소-함유 재료의 표면에 실질적으로 영향을 주지 않고 희생적 자기-조립된 단층 (self-assembled monolayer; SAM) 을 사용하여 실리콘-및-질소-함유 재료를 개질함으로써 실리콘-및-질소-함유 재료에 대해 실리콘-및-산소-함유 재료를 선택적으로 에칭하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 실리콘-함유 재료를 또 다른 실리콘-함유 재료에 대해 선택적으로 차단하기 위한 SAM 전구체들의 사용은 다른, 차단되지 않은 실리콘-함유 재료의 에칭을 허용하도록 차단된 실리콘-함유 재료의 패시베이션을 허용한다. SAM이 자기-제한 표면 두께를 갖고, SAM이 실리콘-및-질소-함유 재료를 타깃팅하기 때문에, 특정한 개시된 실시 예들은 상기 기술된 바와 같이 부정적인 트레이드 오프들을 유도할 가능성이 보다 적다.
부가적으로, 보호된 실리콘-및-질소-함유 표면을 제공하도록 희생적 SAM으로 실리콘-및-질소-함유 재료를 개질함으로써 노출된 실리콘-및-질소-함유 재료의 HAR 측벽 보호를 위한 방법들 및 장치들이 본 명세서에 제공된다. 실리콘-및-옥사이드-함유 재료의 개질은 재료의 측방향 손실이 통상적으로 실리콘-및-질소-함유 재료보다 작기 때문에 일부 실시 예들에서 선택 가능할 수도 있다.
특정한 개시된 실시 예들은 다른 에칭 기법들의 통상적인 트레이드 오프들 중 일부를 방지하면서 에칭 선택도를 상승시키는 방법을 수반한다. 특정한 개시된 실시 예들은 실리콘-및-질소-함유 재료에 대해 실리콘-및-산소-함유 재료의 선택도를 상승시킨다. 본 명세서에 기술된 특정한 실시 예들에서, "실리콘-및-산소-함유 재료"는 인식 가능한 양의 질소 원자들을 갖지 않는, 실리콘 다이옥사이드 (SiO2) 또는 실리콘 및 산소 원자들을 포함하는 다른 재료들과 같은 실리콘 옥사이드일 수 있다. 본 명세서에 사용된 바와 같이, "실리콘-및-산소-함유 재료"는 에칭 특성들에 상당한 영향을 주기에 충분한 질소를 포함하지 않는 재료를 지칭한다. 본 명세서에 기술된 특정한 실시 예들에서, "실리콘-및-질소-함유 재료"는 SiNx로서 특성화될 수도 있는 실리콘 나이트라이드를 지칭할 수도 있고, 여기서 x는 막 증착 방법에 따라 가변할 수도 있는, N 대 Si 원자들의 비를 나타내고, 그리고 "실리콘-및-질소-함유 재료"는 또한 실리콘 및 질소 원자들을 포함하는 다른 재료들을 지칭할 수도 있다.
본 명세서에 기술된 선택도는 SAM 전구체 및 SAM 전구체 화학 물질에 대한 표면 노출의 지속 기간에 종속된다. 다양한 실시 예들에서, 실리콘-및-산소-함유 표면을 에칭하기 전에 SAM 전구체에 노출된 실리콘-및-질소-함유 표면들은 SAM 전구체에 노출되지 않은 실리콘-및-질소-함유 표면에 비해 감소된 두께의 순 손실을 나타낸다. 예를 들어, 일부 경우들에서, 나이트라이드 손실 감소는 약 50 ㎚ 내지 약 150 ㎚의 실리콘 옥사이드를 에칭하기 위한 프로세스들과 같은 특정한 에칭 프로세스들에 대해 적어도 약 2 ㎚일 수도 있다.
특정한 개시된 실시 예들은 자기-정렬된 콘택트들을 제조하기 위해 그리고 로직 및 패터닝에서 다른 에칭 적용 예들을 위해 사용될 수도 있다. 다양한 실시 예들에서, 방법은 실리콘-및-산소-함유 표면에 대해 실리콘-및-질소-함유 표면을 선택적으로 보호하기 위해 탄소-함유 SAM 전구체를 사용한 비플라즈마 처리를 사용한다. SAM 전구체 분자들은 후속하는 에칭 단계들에서 실리콘-및-질소-함유 재료의 제거를 감소시키는 실리콘-및-질소-함유 표면에 선택적으로 부착된다. 특히, 이 방법은 다른 플라즈마 에칭 메커니즘들이 실리콘-및-질소-함유 표면 상에 패시베이션을 축적할 수 있기 전에, 실리콘-및-산소-함유 표면들을 에칭하기 위해 사용된 에칭 화학 물질들에 노출될 때 실리콘-및-질소-함유 재료 에칭의 손실 및 초기 손상을 감소시키는데 특히 효과적인 것으로 여겨진다. 이 방법은 실리콘-및-산소-함유 재료의 ALE와 결합될 수 있다.
본 명세서에 기술된 특정한 예들은 실리콘-및-산소-함유 표면들을 선택적으로 에칭하기 위해 실리콘-및-질소-함유 표면들에 SAM 전구체들을 선택적으로 흡착하는 것을 수반하지만, 특정한 실시 예들이 또한 실리콘-및-질소-함유 표면들을 선택적으로 에칭하기 위해 SAM 전구체를 실리콘-및-산소-함유 표면들에 선택적으로 흡착하도록 사용될 수도 있다는 것이 이해될 것이다. 모든 실시 예들에 대한 에칭은 ALE를 수반할 수도 있고, ALE는 기판을 플라즈마에 노출하는 것을 수반할 수도 있다. 기판이 SAM 전구체에 노출된 후 에칭의 방법으로서 ALE가 본 명세서에 기술되지만, 이로 제한되지 않지만 연속적인 에칭을 포함하는 다른 에칭 기법들이 ALE 대신 또는 ALE와 조합하여 사용될 수도 있다는 것이 이해될 것이다.
도 2a 및 도 2b는 기능화된 ALE를 사용하지 않고 그리고 기능화된 ALE를 사용한 기판들의 개략적인 예시를 도시한다. 본 명세서에 사용된 용어 "기능화된 (functionalized)"은 SAM 전구체가 기판에 부착되는 기판들을 지칭한다. 이러한 기능화된 표면은 본 명세서에 기술된 바와 같이 보호된 표면을 제공할 수 있다. 도 2a에서, 기판 (210) 이 (동작 201에서) 아르곤과 플루오로카본 플라즈마 (211) 에 노출되고, 이에 따라 실리콘 나이트라이드 재료 (210) 의 표면 상에 CFx (212) 의 형성, 및 후속하는 (동작 202a에서) 아르곤 플라즈마 (213) 를 사용하는 활성화가 실리콘 나이트라이드 (210a) 의 표면 상에 흑연질 탄소 (graphitic carbon) (214) 의 형성, 뿐만 아니라 실리콘 나이트라이드 손실을 발생시키는 (도 2a에서 상부 (210) 및 하부 (210a) 실리콘 나이트라이드 막들 사이의 두께의 차는 에칭 후 나이트라이드 손실을 나타냄) 예가 제공된다. 도 2b에서, (동작 221에서) CFx (232) 를 형성하도록 동일한 아르곤과 플루오로카본 플라즈마 (231) 에 노출되는 실리콘 나이트라이드 막의 비플라즈마 열 개질 프로세스 후 표면 상에 SAM 전구체들 (250) 을 갖는 기판 (230), (동작 222a에서) 아르곤 활성화 플라즈마 (233) 로의 후속 노출은 거의 또는 무시할만한 나이트라이드 손실로 (실리콘 나이트라이드의 두께는 아르곤 플라즈마 노출 전과 후 동일함) 실리콘 나이트라이드 (230) 의 표면 상에 흑연질 탄소 (234) 의 부가적인 형성을 발생시키는 예가 제공된다. 이들 방법들에서, 아르곤 플라즈마 및 아르곤과 플루오로카본 플라즈마를 사용한 활성화는 (도 2a의 동작들 202a/202b 및 도 2b의 동작들 222a/222b에서와 같이) 반복될 수 있다. 이러한 예는 실리콘 나이트라이드 층이 흑연질 탄소 층이 실리콘 나이트라이드 층 상에 형성되어, 보호된 실리콘 나이트라이드 표면을 제공하고 실리콘 나이트라이드의 에칭 제거를 감소시키도록 기판이 실리콘 나이트라이드 표면 상에 SAM을 형성하기 위해 비플라즈마 열 개질 프로세스에 노출되고 기판이 실리콘 옥사이드 재료를 에칭하도록 사용된 에칭 화학 물질에 노출될 때, 그리고 이론에 얽매이지 않고 특정한 개시된 실시 예들에 따른 기능화된 ALE를 도시한다.
도 2b에서, (동작 251에서) CFx (242) 를 형성하도록 아르곤과 플루오로카본 플라즈마 (241) 에 노출되는 실리콘 옥사이드 막의 비플라즈마 열 개질 프로세스 후 표면 상에 SAM 전구체들 (250) 을 갖는 기판 (240), (동작 252a에서) 아르곤 활성화 플라즈마 (243) 로의 후속 노출은 거의 또는 무시할만한 옥사이드 손실로 (실리콘 옥사이드의 두께는 아르곤 플라즈마 노출 전과 후 동일함) 실리콘 옥사이드 (240) 의 표면 상에 흑연질 탄소 (244) 의 부가적인 형성을 발생시키는 예가 제공된다. 이들 방법들에서, 아르곤 플라즈마 및 아르곤과 플루오로카본 플라즈마를 사용한 활성화가 (도 2c의 동작 252a/252b에서와 같이) 반복될 수 있다. 이러한 예는 흑연질 탄소 층이 실리콘 옥사이드 층 위에 형성되어, 보호된 실리콘 옥사이드 표면을 제공하고 실리콘 옥사이드의 에칭 제거를 감소시키도록 기판이 실리콘 옥사이드 표면 상에 SAM을 형성하기 위해 비플라즈마 열 개질 프로세스에 노출되고 기판이 실리콘 나이트라이드 재료를 에칭하도록 사용된 에칭 화학 물질에 노출될 때, 그리고 이론에 얽매이지 않고 특정한 개시된 실시 예들에 따른 기능화된 ALE를 도시한다.
도 3a는 특정한 개시된 실시 예들에 따라 수행될 수도 있는 동작들의 예시적인 프로세스 흐름도를 도시한다.
동작 (302) 에서, 실리콘-및-산소-함유 표면 및 실리콘-및-질소-함유 표면을 갖는 기판이 프로세스 챔버에 제공된다. 챔버는 멀티-챔버 장치 또는 단일-챔버 장치의 챔버일 수도 있다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 일부 실시 예들에서, 기판은 매립된 실리콘 나이트라이드 영역들 위에 실리콘 옥사이드 층을 포함한다. 일부 실시 예들에서, 기판은 노출된 실리콘 옥사이드 및 실리콘 나이트라이드 표면을 포함한다.
일부 실시 예들에서, 기판 상의 층들은 패터닝될 수도 있다. 기판들은 좁은 그리고/또는 요각 (re-entrant) 개구부들, 피처 내 협폭부들 (constrictions), 및 고 종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처가 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일 예는 기판 상의 층 또는 반도체 기판 내의 홀 또는 비아이다. 또 다른 예는 기판 또는 층의 트렌치이다. 다양한 실시 예들에서, 피처는 배리어 층 또는 접착 층과 같은, 하부 층을 가질 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 전도성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
일부 실시 예들에서, 기판은 ALE에 의해 부분적으로 에칭된 실리콘-및-산소-함유 재료를 갖는 부분적으로 제조된 반도체 웨이퍼이고, 이에 따라 ALE는 아래에 놓인 실리콘-및-질소-함유 재료를 노출시킨 후 중단된다. 즉, 일부 실시 예들에서, 실리콘-및-산소-함유 재료 및 매립된 실리콘-및-질소-함유 재료를 갖는 기판은 기판을 SAM 전구체에 노출시키지 않고 ALE의 사이클들에 노출될 수도 있고, ALE의 사이클들은 동작들 (304 내지 330) 에 대해 이하에 기술된 동작들이 후속하여 수행되도록, 실리콘-및-산소-함유 재료 아래에 놓인 실리콘-및-질소-함유 표면이 노출될 때 중단된다.
일부 실시 예들에서, 실리콘-및-질소-함유 재료 및 실리콘-및-산소-함유 재료는 평면형 표면 상에 있다. 일부 실시 예들에서, 표면은 3 차원이고 복수의 표면들 및 가변하는 토포그래피 (topography) 를 포함하고 실리콘-및-질소-함유 재료 및 실리콘-및-산소-함유 재료는 복수의 표면들 상에 있다.
실리콘-및-질소-함유 재료들의 예들은 실리콘 나이트라이드 (SiN), 실리콘 카보나이트라이드 (SiCN), 실리콘 옥시나이트라이드 (SiON), 수소-종단된 실리콘 나이트라이드 (SiN:H), 1 차-아민-종단된 실리콘 나이트라이드, 2 차-아민-종단된 실리콘 나이트라이드, 이들 재료들의 도핑된 버전들 및 이들의 조합들을 포함한다. 특정한 예시적인 재료들은 SiN, SiON, SiCN, SiN:H, 등의 화학량론적 및 비화학량론적 제제들 (formulations) 을 포함한다.
실리콘-및-산소-함유 재료들의 예들은 이로 제한되는 것은 아니지만 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카바이드, 탄소-도핑된 실리콘 옥사이드 (예를 들어, 선형 또는 고리형 실란들로부터 생성된 것들을 포함하는 SiCOH), 로우-k 유전체들, 다공성 옥사이드들, 비다공성 옥사이드들, 이들 재료들의 도핑된 버전들, 및 이들의 조합들을 포함한다. 특정한 예시적인 재료들은 SiO2, SiOH, 등의 화학량론적 및 비화학량론적 제제들을 포함한다. 일부 실시 예들에서, 실리콘-및-산소-함유 재료는 도핑된다. 일부 실시 예들에서, 실리콘-및-산소-함유 재료는 다공성이다. 일부 실시 예들에서, 실리콘-및-산소-함유 재료는 비다공성이다. 일부 실시 예들에서, 실리콘-및-산소-함유 재료는 로우-k 유전체이다.
자기-정렬된 콘택트 적용 예들을 위한 일부 실시 예들에서, 기판은 적어도 약 2:1 또는 적어도 약 5:1 또는 적어도 약 10:1 또는 적어도 약 20:1의 종횡비를 갖는 실리콘-및-질소-함유 필라들 사이에 약 30 ㎚ 내지 약 100 ㎚의 깊이를 갖는 피처들을 갖는 실리콘-및-질소-함유 필라들 사이의 피처 내에 충진된 실리콘-및-산소-함유 재료를 포함한다.
HAR 측벽 보호를 위한 다른 실시 예들에서, 기판은 실리콘-및-산소-함유 재료의 하나 이상의 층들 및 실리콘-및-질소-함유 재료의 하나 이상의 층들을 포함하고, 홀 또는 트렌치 피처를 사용하여 적어도 약 20:1, 또는 적어도 약 50:1, 또는 적어도 약 100:1 이상의 종횡비로 에칭된다. 실리콘-및-질소-함유 재료에 의해 제공된 표면은 측벽일 수 있고, SAM 전구체는 보호된 측벽 표면을 제공하기 위해 표면을 기능화하도록 채용될 수 있다.
동작 (304) 에서, 기판은 기판의 표면을 전처리하기 위한 프로세스에 선택 가능하게 (optionally) 노출된다. 프로세스는 플라즈마-기반 프로세스의 열적 프로세스일 수도 있다. 프로세스는 처리 가스에 대한 노출을 포함할 수도 있다. 예시적인 가스들은 수소, 아르곤, 질소, 헬륨, 및 이들의 조합들을 포함한다.
전처리는 적합한 가스의 플라즈마에 노출시킴으로써 수행될 수도 있다. 전처리는 플라즈마를 사용하여 수행될 수도 있다. 플라즈마는 인시츄 (in situ) 로 또는 기판 표면으로 전달되는 수소-함유 반응성 종을 생성하도록 수소 분위기의 리모트 플라즈마 챔버에서 점화될 수도 있다. 일부 실시 예들에서, RF (radio frequency) 바이어스는 5 W 내지 약 150 W의 전력으로 인가될 수도 있다. 일부 실시 예들에서, 바이어스가 인가되지 않는다.
일부 실시 예들에서, 전처리는 실리콘-및-질소-함유 재료의 표면 상의 수소의 존재를 증가시키도록 수행된다. 일부 실시 예들에서, 전처리는 실리콘-및-질소-함유 재료의 산화된 표면들을 제거하도록 수행된다. 전처리는 선택 가능하다. 일부 경우들에서, 전처리는 SAM 전구체에 대한 노출 및/또는 에칭 화학 물질들에 대한 노출과 동일한 챔버에서 수행된다. 일부 경우들에서, SAM 전구체에 대한 노출 및/또는 에칭 화학 물질들에 대한 노출을 위해 챔버로부터 분리된 챔버에서 전처리가 수행된다.
동작 (306) 에서, 비플라즈마 분위기의 실리콘-및-산소-함유 표면들에 대해 실리콘-및-질소-함유 표면들에 탄소-함유 SAM 전구체를 선택적으로 흡착시키도록 기판은 탄소-함유 SAM 전구체에 노출된다. 탄소-함유 SAM 전구체는 기판 상의 실리콘-및-산소-함유 표면들에 대해 실리콘-및-질소-함유 표면들 상에 선택적으로 흡착한다. 탄소-함유 SAM 전구체는 실리콘-및-산소-함유 표면들 상의 흡착이 제한되거나 무시 가능하도록 선택된다. 동작 (306) 은 열적으로 수행된다. 이 동작 동안 플라즈마는 사용되지 않는다. 특정한 이론에 얽매이지 않고, 이 동작 동안 플라즈마의 사용은 실리콘-및-질소-함유 재료 대 실리콘-및-산소-함유 재료에 대한 흡착의 선택도를 감소시킬 것이라고 여겨진다.
적합한 SAM 전구체들은 탄소 풍부 (carbon-rich) 일 수도 있다. SAM 전구체는 헤드 (head) 및 테일 (tail) 컴포넌트를 포함한다. 개시된 실시 예들에서, 헤드는 기판 표면 상의 실리콘-및-질소-함유 재료와 반응성이고 실리콘-및-질소-함유 재료와 화학적 결합을 형성한다. 다양한 실시 예들에서, 헤드는 -NH, -NH2, 또는 -NHx 종단된 표면과 반응한다.
테일이 에칭 동안 사용된 플루오로카본 에칭 화학 물질 및/또는 활성화 가스 또는 플라즈마와 같은 실리콘-및-산소-함유 재료들을 에칭하기 위한 에칭 화학 물질들에 대한 보호를 제공하도록, 테일 컴포넌트는 하나 이상의 탄소 원자들을 갖는 유기기이다. 이론에 얽매이지 않고, 이 보호된 표면은 후속 프로세싱에서 기판 표면으로의 원자들 및 이온들의 수송을 감쇠시키는 탄소-풍부 층의 형성에 의해 달성될 수도 있다.
SAM 전구체들은 자기-제한 방식으로 실리콘-및-질소-함유 표면과 반응한다. 결과는 후속 에칭 단계 동안 에칭으로부터 보호되는 보호된 실리콘-및-질소-함유 표면을 제공하도록 사용될 수 있는 SAM 막의 단층이다. 막의 자기-제한 특성은 과도한 증착으로 인한 가능한 문제들을 방지한다. 과도한 증착은 피처들의 핀치 오프, 감소된 임계 치수, 및/또는 피처 프로파일의 수정을 발생시킬 수 있다. SAM 막들을 사용하여, 기판을 상이한 전구체들에 순환적으로 노출시킬 필요가 없다. 그러나, 일부 경우들에서, SAM 전구체들은 전구체 전달의 스테이지들 사이에서 발생하는 선택 가능한 퍼지 (예를 들어, 퍼지 가스 및/또는 펌프 다운) 와 함께 스테이지들로 전달될 수도 있다.
특정한 실시 예들에서, 보호된 표면 또는 보호용 막을 형성하기 위해 사용된 SAM 전구체는 증착된 보호 막의 층이 최대 표면 커버리지를 갖도록 전구체가 실리콘-및-질소-함유 표면 상에서 약 100 % 포화에 도달할 때까지 진공 챔버 내로 흐를 수도 있다. 일부 실시 예들에서, 예를 들어 상이한 표면들 상의 선택적인 증착을 제어하기 위해, 증착된 막 커버리지를 맞추기 (tailor) 위해, 또는 프로세싱 시간을 감소시키기 위해, 저포화 (under-saturation) 및 과포화 (over-saturation) 가 또한 실시될 수도 있다.
보호된 표면 또는 보호 층이 다른 층들과 비교하여 특정한 층들 상에 선택적으로 또는 우선적으로 형성된다는 것을 보장하는 일 방식은 다른 층들과 비교하여 일 타입의 층 상에 존재하는 표면 그룹들과 우선적으로 반응할 SAM 전구체를 선택하는 것이다. SAM 전구체의 헤드기는 이 상대적인 반응성에서 역할을 한다. 예를 들어, 케톤계 헤드기 및 알데하이드계 헤드기는 하이드록실들과 비교하여 아민들과 우선적으로 반응할 수도 있다.
SAM 전구체들을 실리콘-및-질소-함유 표면들 상에 부착하기 위해 특정한 개시된 실시 예들에서 사용될 수도 있는 예시적인 SAM 전구체들은 알데하이드들 및 이소티오시아네이트들을 포함한다.
예시적인 알데하이드들은 벤즈알데하이드, 1-헥사날, 및 트리메틸헥사날을 포함한다. 일부 실시 예들에서, 수소 원자들을 하나 이상의 불소 원자들로 치환한 벤즈알데하이드가 사용될 수도 있다. SAM 전구체 내의 과도한 수소 함량이 나이트라이드 에칭을 유발할 수도 있기 때문에, 불소-치환된 벤즈알데하이드가 일부 실시 예들에서 사용될 수도 있다.
Figure pct00002
Figure pct00003
Figure pct00004
예시적인 이소티오시아네이트들은 페닐 이소티오시아네이트 및 헥실 이소티오시아네이트를 포함한다.
Figure pct00005
Figure pct00006
다른 비제한적인 SAM 전구체들은
R1-C(O)-R2 또는 R1-NCS를 포함하고,
여기서 R1은 유기 모이어티를 포함하고, 그리고 R2는 수소 (H) 또는 유기 모이어티이다. R1 및 R2에 대한 유기 모이어티들은 동일하거나 상이할 수 있다. 일부 실시 예들에서, R1 및/또는 R2 각각은 독립적으로, 선택 가능하게 치환된 지방족 (aliphatic), 선택 가능하게 치환된 헤테로지방족 (heteroaliphatic), 선택 가능하게 치환된 지환족 (cycloaliphatic), 선택 가능하게 치환된 사이클로헤테로지방족, 또는 선택 가능하게 치환된 방향족이거나 이를 포함할 수 있다. 다른 실시 예들에서, R1 및/또는 R2 각각은 독립적으로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 헤테로알킬, 선택 가능하게 치환된 헤테로알케닐, 선택 가능하게 치환된 헤테로알키닐, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로헤테로알킬, 선택 가능하게 치환된 아릴, 또는 선택 가능하게 치환된 헤테로사이클릴이거나 이를 포함할 수 있다. R1 및 R2에 대한 치환기들의 예들은 알킬 또는 아릴에 대한 본 명세서에 기술된 임의의 치환기를 포함할 수 있다.
특정한 실시 예들에서, R1 및/또는 R2 각각은 독립적으로 분지쇄 하이드로카본 또는 선형 하이드로카본이다. 일부 실시 예들에서, R1 및/또는 R2 각각은 독립적으로, 선택 가능하게 치환된 페닐이다. 다른 실시 예들에서, R1 및 R2 각각은 독립적으로 하나 이상의 할로 치환기들 (예를 들어, 하나 이상의 불소 치환기들) 또는 할로알킬 치환기들이거나 포함한다. 본 명세서의 임의의 실시 예에서, R2는 H이다.
도 3a를 다시 참조하면, 동작 (306) 은 특정한 웨이퍼 온도, 챔버 압력, 및 노출 시간들과 같은 특정한 프로세스 조건들을 사용하여 수행된다. 본 명세서에 기술된 프로세스 조건들은 실리콘-및-산소-함유 표면에 대해 실리콘-및-질소-함유 표면에 SAM 전구체들을 선택적으로 부착하기 위한 프로세스 조건들을 지칭한다. 웨이퍼 온도는 SAM 전구체의 특성들 및 거동에 영향을 줄 수도 있다. 웨이퍼 온도는 SAM 전구체의 응결을 방지하고, SAM 전구체들 또는 SAM의 열적 분해를 방지하고, 그리고 다른 원치 않은 거동들을 방지하도록 선택된다. 본 명세서에 기술된 웨이퍼 온도는 웨이퍼를 홀딩하는 페데스탈이 설정될 수도 있는 온도를 지칭한다. 동작 (306) 동안, 웨이퍼 온도는 약 -40 ℃ 내지 약 550 ℃ 또는 약 20 ℃ 내지 약 150 ℃ 는 약 80 ℃ 내지 약 120 ℃일 수도 있다.
(노출 시간을 곱한 압력에 의해 결정되는) 도즈는 SAM 전구체 흡착 레이트 및 흡착 정도에 영향을 줄 수도 있다. 도즈의 단위들은 ML ("megaLangmuirs") (1 Torr-second) 일 수도 있다. 도즈는 사용된 화학 물질들 및 온도에 따라 광범위하게 가변할 수 있는 화학적 반응성에 종속될 수도 있다. 다양한 실시 예들에서, 도즈는 약 0.1 ML 내지 약 500 ML, 또는 약 0.5 ML 내지 약 200 ML, 또는 약 1 ML 내지 약 300 ML, 또는 약 5 ML 내지 약 100 ML, 또는 약 10 ML 내지 약 200 ML, 또는 약 30 ML 내지 약 150 ML일 수도 있다. 본 명세서에 제공된 범위들은 이들의 종점들을 포함할 수도 있다.
동작 (330) 에서, 실리콘-및-산소-함유 표면은 상부에 SAM 전구체들이 흡착된 실리콘-및-질소-함유 표면에 대해 선택적으로 에칭된다. ALE가 예로서 본 명세서에 기술되지만, 연속적인 플라즈마 에칭을 포함하는 다른 에칭 프로세스들이 대신에 또는 ALE와 조합하여 사용될 수도 있다는 것이 이해될 것이다.
다양한 실시 예들에서, 에칭은 ALE에 의해 수행된다.
ALE는 사이클들로 수행될 수도 있다. 도 3b는 ALE 사이클의 2 개의 예시적인 개략적 예시들을 도시한다.
182a에서, 많은 실리콘 원자들 및 산소 원자들을 포함하는 실리콘 옥사이드 기판이 제공된다. 182b에서, 헥사플루오로-1,3-부타디엔 (C4F6) 과 같은 불소 소스가 기판에 도입되어, 플루오로카본 (CxFy 또는 CxHzFy) 플라즈마로부터 생성된 반응성 라디칼 종이 기판 상에 증착되어 반응성 층을 형성한다. 182b에 도시된 개략도는 일부 반응된 플루오로카본 라디칼 종이 기판의 표면 상에 증착된다는 것을 도시한다. 다른 일반적인 불소 소스, 예컨대 탄소 플루오라이드 또는 다음 중 하나 이상의 화학식을 갖는 소스들: CxFy, CFx , CHFx, 또는 CxHzFy가 사용될 수 있고 C4F6 (헥사플루오로-1,3-부타디엔) 이 예로서 제공된다. 다른 예시적인 플루오로카본들은 CF4, C2F6, C3F8, C3F6 (헥사플루오로프로펜 및 이의 이성질체들을 포함함), C4F6 (헥사플루오로-2-부틴 및 이의 이성질체들을 포함함), C4F8 (옥타플루오로-2-부텐, 옥타플루오로사이클로부탄 (사이클로-C4F8), 및 이들의 이성질체들을 포함함), CHF3, C2HF5 (펜타플루오로에탄 및 이들의 이성질체들을 포함함), CH2F2, C2H2F4, C3HF5 (1,1,3,3,3-펜타플루오로-1-프로펜 포함, (E)-1,2,3,3,3-펜타플루오로프로펜, 및 이의 이성체들을 포함함), C3H3F3 (3,3,3-트리플루오로프로펜 및 이의 이성체들을 포함함), C5F8 (옥타플루오로사이클로펜텐 및 이의 이성체들을 포함함), 또는 C6F10 (데카플루오로사이클로헥센 및 이의 이성체들을 포함함) 을 포함하고, 이러한 플루오로카본들은 선택 가능하게 하나 이상의 공액 결합들 (예를 들어, -C=C- 결합들) 을 포함할 수 있다. 플루오로카본들의 또 다른 예들은 퍼플루오로카본 또는 하이드로플루오로카본, 예컨대 CxFy 또는 CxHzFy를 포함하고, 여기서 x는 1 내지 6이고, y는 1 이상 (예를 들어, 1 내지 14), 그리고 z는 0, 1, 또는 그 이상이다 (예를 들어, 0 내지 13 또는 1 내지 13). 증착 동안, 폴리머 CFx는 기판의 표면 상에 막으로서 증착될 수도 있다. 불소 소스는 챔버로부터 선택 가능하게 퍼지된다. 182c에서, 기판의 표면으로부터 반응성 층 및 기판의 증분량을 제거하도록 활성화 가스가 도입된다. 이러한 동작 동안, 이론에 얽매이지 않고, 이온 충격은 실리콘을 에칭할 수 있는 불소 및 탄소 라디칼들을 생성한다. 이온들은 또한 실리콘 옥사이드 막에서 Si-O 결합들을 파괴할 수 있어서, 불소 및 탄소 라디칼들과의 반응들을 촉진한다. 182e에서, 챔버가 퍼지되고, 부산물들이 제거되지만 이러한 동작은 선택 가능할 수도 있다. 182e는 반응성 층을 도시하고 기판의 증분량이 제거된다.
일 사이클은 재료의 약 0.1 ㎚ 내지 약 20 ㎚, 또는 재료의 약 0.1 ㎚ 내지 약 5 ㎚, 또는 재료의 약 0.2 ㎚ 내지 약 5 ㎚, 또는 재료의 약 0.5 ㎚ 내지 약 3 ㎚을 부분적으로만 에칭할 수도 있다. 일부 실시 예들에서, ALE의 사이클은 재료의 단층보다 적게 제거할 수도 있다.
도 3a의 동작 (330) 은 ALE를 사용하여 실리콘-및-질소-함유 표면에 대해 실리콘-및-산소-함유 표면을 에칭하도록 수행될 수도 있는 3 개의 예시적인 동작들을 도시한다.
동작 (310) 은 기판의 표면 상에 증착되는 플라즈마 반응성 종에 기판을 노출시키는 것을 수반한다. 플라즈마 반응성 종은 실리콘-및-산소-함유 표면 상에 증착된다. 실리콘-및-질소-함유 표면들 상의 SAM 전구체들은 이하에 더 기술된 바와 같이 이온들 및 에칭 라디칼들이 SiNx에 도달하는 것을 방지하는 배리어로서 작용함으로써 활성화를 방지한다.
일부 실시 예들에서, 실리콘-및-산소-함유 재료 상에 플라즈마 반응성 종의 증착은 자기-제한적이지 않을 수도 있다. 일부 실시 예들에서, 실리콘-및-산소-함유 재료 상으로 플라즈마 반응성 종의 증착은 자기-제한적이다.
플라즈마 반응성 종은 라디칼들을 포함하고 플루오로카본 플라즈마로부터 생성될 수도 있다. 일부 실시 예들에서, 플라즈마 반응성 종은 하이드로플루오로카본-함유 플라즈마, 할로겐-함유 플라즈마, 탄소-함유 플라즈마, 할로겐-및-탄소-함유 플라즈마, 또는 이들의 조합들로부터 생성된다. 동작 (310) 동안 기판의 표면 상에 증착하도록 플라즈마 반응성 종을 생성할 수도 있는 예시적인 플라즈마들은 본 명세서에 기술된 바와 같이 C4F6, C4F8, 퍼플루오로카본, 또는 플루오로하이드로카본을 포함한다.
본 명세서에 기술된 바와 같이, 특정한 가스들이 챔버 내로 도입되는 동작들에서, 플라즈마를 사용한 원자 층 에칭을 수반하는 일부 실시 예들에서, 반응기 또는 챔버는 기판 또는 웨이퍼를 프로세싱하기 전에 챔버 내로 화학 물질을 도입함으로써 안정화될 수도 있다. 챔버를 안정화하는 것은 안정화에 이어지는 동작에 사용될 화학 물질과 동일한 플로우 레이트들, 압력, 온도들, 및 다른 조건들을 사용할 수도 있다. 일부 실시 예들에서, 챔버를 안정화하는 것은 상이한 파라미터들을 수반할 수도 있다. 일부 실시 예들에서, 캐리어 가스, 예컨대 질소, 아르곤, 네온, 헬륨, 및 이들의 조합들이 ALE 동안 연속적으로 흐른다. 일부 실시 예들에서, 캐리어 가스는 활성화 동안만 사용된다. 캐리어 가스는 이하에 기술된 바와 같이 일부 동작들에서 퍼지 가스로 사용될 수도 있다. 일부 실시 예들에서, 또 다른 활성화 가스가 증착 동작으로부터 형성된 반응성 층으로부터 잔류물을 제거하도록 사용된다. 일부 실시 예들에서, 캐리어 가스는 활성화 동안 흐르지 않는다.
증착 동작은 후속하는 활성화 동작에서 베어 (bare) 재료보다 용이하게 활성화되고 제거될 수 있는 두께를 갖는 박형의 반응성 표면 층을 형성한다. 증착 동작에서, 플루오로카본 플라즈마로부터의 플라즈마 반응성 종은 실리콘-및-산소-함유 표면들을 갖는 기판 상에 증착된다. 플루오로카본이 일부 실시 예들에서 예시적인 증착 종으로서 사용되지만, 일부 실시 예들에서, 상이한 증착 가스가 사용된다는 것이 이해될 것이다. 사용된 플라즈마는 에칭될 기판의 타입 및 화학 물질에 따라 선택될 수도 있다. 일부 실시 예들에서, 플라즈마가 점화될 수도 있고, 플루오로카본 플라즈마로부터 생성된 플루오로카본 및/또는 플라즈마 반응성 종은 표면 상에 불소 및 탄소를 증착하도록 기판과 반응한다. 다양한 실시 예들에서, 플루오로카본은 가스 형태로 챔버 내로 도입되고, 선택 가능하게 상기 기술된 것들 중 임의의 가스일 수도 있는 캐리어 가스가 동반될 수도 있다. 플루오로카본 플라즈마로부터 생성된 반응성 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 직접적으로 생성될 수 있거나 기판을 하우징하지 않는 프로세스 챔버에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다.
다양한 실시 예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 용량 결합 플라즈마는 약 5 W 내지 약 200 W의 전력으로 동작될 수도 있다. 일부 실시 예들에서, 전력은 약 13 ㎒ 내지 100 ㎒의 RF 주파수로 제공될 수도 있다. 일부 실시 예들에서, 퍼지는 동작 (310) 후에 선택 가능하게 수행될 수도 있다. 퍼지 동작에서, (불소 및 탄소 라디칼들과 같은) 플루오로카본으로부터 생성된 표면-결합되지 않은 플라즈마 반응성 종은 프로세스 챔버로부터 제거될 수도 있다. 이는 증착된 층을 제거하지 않고 활성 종을 제거하기 위해 프로세스 챔버를 퍼지하고 그리고/또는 배기함으로써 수행될 수 있다. 플루오로카본 플라즈마에서 생성된 종은 단순히 플라즈마를 중단시키고, 선택 가능하게 챔버의 퍼지 및/또는 배기와 결합하여 나머지 종이 붕괴되게 함으로써 제거될 수 있다. 퍼지는 질소 (N2), 아르곤 (Ar), 네온 (Ne), 헬륨 (He) 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 행해질 수 있다. 다양한 실시 예들에서, 퍼지는 동작 (310) 후에 수행되지 않고, 동작 (310) 및 동작 (312) 의 반복된 사이클에서 동작 (312) 후에 수행되지 않는다.
동작 (312) 에서, 기판의 표면으로부터 재료를 제거하기 위해, 기판은 아르곤 플라즈마, 또는 헬륨 플라즈마, 또는 질소 플라즈마와 같은 에너지 소스 (예를 들어, 활성화 또는 스퍼터링 가스 또는 제거를 유도하는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시 예들에서, 제거는 증착된 CFx 재료 및 아래에 놓인 실리콘-및-산소-함유 재료의 증분 모두를 제거한다. 제거는 지향성 스퍼터링에 의해 기판을 에칭하는 것을 수반할 수도 있다. 일부 실시 예들에서, 제거 동작은 이온 충격에 의해 수행될 수도 있다. 제거 동안, 방향성 스퍼터링을 용이하게 하기 위해 바이어스가 선택 가능하게 턴 온될 수도 있다. 일부 실시 예들에서, ALE는 등방성일 수도 있다.
다양한 실시 예들에서, 챔버의 압력은 증착 동작과 활성화 동작 사이에서 가변할 수도 있다. 가스의 타깃팅된 압력은 챔버의 사이즈, 챔버 펌핑의 컨덕턴스, 가스의 플로우 레이트 (flow rate), 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 종속될 수도 있다. 다양한 실시 예들에서, 부가적인 저 주파수 RF 소스가 바이어스를 증가시키기 위해 제거 단계 동안 인가될 수도 있다. 이 부가적인 소스는 약 400 ㎑ 내지 약 13 ㎒의 범위의 주파수를 가질 수도 있다. 일부 실시 예들에서, 챔버는 제거 동작 후에 퍼지될 수도 있다. 퍼지 프로세스들은 개질 동작 후 퍼지를 위해 사용된 프로세스들 중 임의의 프로세스일 수도 있다.
동작 (314) 에서, 동작 (310) 및 동작 (312) 은 선택 가능하게 사이클들로 반복될 수도 있다. 일부 실시 예들에서, 동작 (310) 및 동작 (312) 은 시간적으로 분리된 교번하는 펄스들로 반복된다. 일부 실시 예들에서, 동작 (310) 및 동작 (312) 은 동작들을 수행하는 사이에 RF 플라즈마를 퍼지하거나 턴 오프하지 않고 사이클들로 연속적으로 반복된다.
다양한 실시 예들에서, 개질 및 제거 동작들은 약 1 내지 약 6 사이클들, 또는 약 1 내지 약 15 사이클들, 또는 약 1 내지 약 50 사이클들, 또는 100 사이클보다 많은, 사이클들로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들이 목표된 양의 막을 에칭하도록 포함될 수도 있다. 일부 실시 예들에서, ALE는 기판 상의 약 30 ㎚ 내지 약 100 ㎚의 실리콘-및-산소-함유 재료를 에칭하기 위한 사이클들로 수행된다.
동작 (306) 및 동작 (330) 각각은 별개의 챔버들, 동일한 챔버, 또는 동일한 툴의 상이한 챔버들에서 수행될 수도 있다. 다양한 실시 예들에서, 동작 (306) 및 동작 (330) 은 진공을 파괴하지 않고 수행된다.
동작 (330) 에서 ALE 에칭 프로세스 동안, 탄소는 실리콘-및-질소-함유 표면의 표면 상에 누적될 (accumulate) 수도 있다. ALE가 활성화 동안 실리콘-및-산소-함유 표면 상의 CFx 축적물을 거의 완전히 제거할 수도 있지만, 실리콘-및-질소-함유 표면 상의 잔류 탄소 누적이 발생할 수도 있다. 이러한 축적은 또한 탄소 축적이 실리콘-및-질소-함유 표면 상의 임의의 잠재적인 에칭을 늦춰, 선택도를 상승시키도록 에칭 프로세스의 선택도에 기여할 수도 있다.
동작 (306) 및 동작 (330) 은 특정한 실시 예들에서 반복될 수도 있다. ALE가 동작 (330) 에서 사용되는 경우, 동작 (306) 을 반복하기 전에 수행될 수도 있는 ALE의 사이클들의 수는 시간에 따라 가변할 수도 있고, 기판 토포그래피, 기판 상의 재료들의 두께들, 사용된 프로세스 조건들, 및 다른 파라미터들에 종속될 수도 있다.
다양한 실시 예들에서, 동작 (306) 및 동작 (330) 은 실리콘-및-질소-함유 표면들 상의 SAM 전구체들을 보충하도록 반복된다. 예를 들어, 일부 경우들에서, SAM 전구체들이 후속하여 동작 (330) 에서 에칭 화학 물질들에 노출될 때 흑연질 탄소가 실리콘-및-질소-함유 표면들 상에 형성될 수도 있지만, 일부 경우들에서, 이러한 흑연질 탄소는 또한 에칭될 수도 있어서, 이에 따라 실리콘 및 질소 함유 표면이 노출된다. 동작 (306) 에서 SAM 전구체 노출은 새로운 SAM 전구체들이 동작 (330) 에서 실리콘-및-산소-함유 재료를 계속해서 에칭하기 전에 노출된 실리콘-및-질소-함유 표면에 부착될 수 있도록 SAM 전구체들을 보충하도록 반복될 수도 있다.
다양한 실시 예들에서, 동작 (306) 및 동작 (330) 은 균일성 및 로딩 문제들을 해결하도록 반복된다. 예를 들어, 동작 (302) 에서 제공된 기판은 모든 실리콘-및-질소-함유 표면들이 노출될 필요는 없을 수도 있어서, 동작 (330) 에서 에칭의 복수 사이클들 후에, 흡착된 SAM 전구체가 부착되지 않은, 부가적인 실리콘-및-질소-함유 표면들이 노출될 수도 있다. 이는 기판에 걸친 막들 또는 부분적으로 에칭된 막들의 불균일성 및/또는 상이한 다이 내 (within-die) 피처들 사이의 변동들로 인해 발생할 수도 있다. 따라서, 동작 (306) 은 실리콘-및-산소-함유 재료의 선택적인 에칭을 보장하기 위해 새로 노출된 실리콘-및-질소-함유 표면들 상에 SAM 전구체들을 흡착하도록 더 반복될 수도 있다.
실리콘 옥사이드의 최소 에칭으로 실리콘 나이트라이드의 선택적인 에칭을 수반하는 에칭 적용 예들이 있을 수도 있다. 일부 실시 예들에서, SAM 전구체들은 실리콘-및-질소-함유 표면들에 대해 실리콘-및-산소-함유 표면들 상에 선택적으로 흡착하여 실리콘-및-질소-함유 표면들을 선택적으로 에칭하도록 사용될 수도 있다. 이러한 경우들에서, SAM 전구체들은 SAM 전구체 상의 헤드기가 -OH 종단된 실리콘-및-산소-함유 표면들과 보다 반응성이고 -NH, -NH2, 또는 -NHx 종단된 실리콘-및-질소-함유 표면들과 반응하지 않거나 보다 덜 반응성이도록 선택될 수도 있다.
이러한 프로세스들의 추가의 기술 및 예들은 2019 년 8 월 28 일에 공개된, Gasvoda 등의 "Surface prefunctionalization of SiO2 to modify the etch per cycle during plasma-assisted atomic layer etching", J. Vac. Sci. Technol. A 37(5) 에 상세히 기술되고, 이는 전체가 본 명세서에 참조로서 인용된다.
도 3c는 특정한 개시된 실시 예들에 따라 수행될 수도 있는 동작들의 예시적인 프로세스 흐름도를 도시한다. 동작 (352) 에서, 기판은 특정한 개시된 실시 예들에 따라 수행될 수도 있는 동작들의 예시적인 프로세스 흐름도를 도시한다. 기판들, 챔버들, 및 장치들의 실시 예들이 본 명세서에 기술된다. 선택 가능한 동작 (354) 에서, 기판은 기판의 표면을 전처리하기 위한 프로세스에 선택 가능하게 노출되고, 전처리 조건들이 본 명세서에 기술된다.
동작 (356) 에서, 비플라즈마 분위기의 실리콘-및-질소-함유 표면들에 대해 실리콘-및-산소-함유 표면들에 탄소-함유 SAM 전구체를 선택적으로 흡착시키도록 기판은 탄소-함유 SAM 전구체에 노출된다. 탄소-함유 SAM 전구체는 기판 상의 실리콘-및-질소-함유 표면들에 대해 실리콘-및-산소-함유 표면들 상에 선택적으로 흡착한다. 탄소-함유 SAM 전구체는 실리콘-및-산소-함유 표면들 상의 흡착이 제한되거나 무시할 수 있도록 선택된다. 동작 (356) 은 열적으로 수행될 수 있다. 이 동작 동안 플라즈마는 사용되지 않는다. 특정한 이론에 얽매이지 않고, 이 동작 동안 플라즈마의 사용은 실리콘-및-질소-함유 재료 대 실리콘-및-산소-함유 재료에 대한 흡착의 선택도를 감소시킬 것이라고 여겨진다. 특정한 웨이퍼 온도, 챔버 압력, 도즈, 및 노출 시간들과 같은 프로세싱 조건들에 대한 추가 상세들은 본 명세서에 기술될 수 있다.
일부 실시 예들에서, SAM 전구체들을 실리콘-및-질소-함유 표면들에 대해 실리콘-및-산소-함유 표면들에 선택적으로 부착하기 위한 적합한 SAM 전구체들은 실란들, 옥시실란들, 고리형 아자실란들, 및 고리형 티오실란들을 포함한다.
예시적인 실란들은 BDMADMS (bis(dimethylamino)dimethylsilane), ODMDMAS (N-octyldimethyl(dimethylamino)silane), 및 CAZ-1 (N-methyl-aza-2,2,4-trimethylsilacyclopentane) 을 포함한다.
예시적인 옥시실란들은 알콕시실란들, (3-아미노프로필)트리에톡시실란, 및 (3-아미노프로필)트리메톡시실란) 을 포함한다.
Figure pct00007
Figure pct00008
예시적인 고리형 아자실란들은 N-메틸-아자-2,2,4-트리메틸실라사이클로펜탄 및 N,N-디메틸아미노프로필-아자-2-메틸-2-메톡시실라사이클로펜탄을 포함한다.
Figure pct00009
Figure pct00010
예시적인 고리형 티오실란은 2,2,4-트리메틸-1-티아-2-실라사이클로펜탄을 포함한다.
Figure pct00011
다른 비제한적인 SAM 전구체들은
SiR3R4R5R6을 포함하고,
여기서 R3, R4, R5, 및 R6 각각은 독립적으로, H, 할로, 또는 유기 모이어티이거나 이를 포함한다. 일부 실시 예들에서, R3, R4, R5, 및 R6 각각은 독립적으로, H, 할로, 선택 가능하게 치환된 지방족, 선택 가능하게 치환된 헤테로지방족, 선택 가능하게 치환된 지환족, 선택 가능하게 치환된 사이클로헤테로지방족, 또는 선택 가능하게 치환된 방향족이거나 이를 포함한다. 일부 실시 예들에서, R3 및 R4는 사이클로헤테로지방족기 또는 헤테로사이클릴기 (예를 들어, 선택 가능하게 질소 원자 또는 황 원자를 포함함) 를 형성하도록 실리콘 원자 각각이 부착되는, 실리콘 원자와 함께 취해질 수 있다. 다른 실시 예들에서, R3, R4, R5, 및 R6 각각은 독립적으로, H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 헤테로알킬, 선택 가능하게 치환된 헤테로알케닐, 선택 가능하게 치환된 헤테로알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아미노알킬, 선택 가능하게 치환된 티오알콕시, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로헤테로알킬, 선택 가능하게 치환된, 또는 선택 가능하게 치환된 아릴 헤테로사이클릴이거나 이를 포함할 수 있다. R3, R4, R5, 및 R6에 대한 치환기의 예들은 알킬 또는 아릴에 대해 본 명세서에 기술된 임의의 치환기를 포함할 수 있다.
또 다른 비제한적인 SAM 전구체들은,
Figure pct00012
를 포함하고,
여기서 Z는 -S-, -O-, 또는 -NR7-일 수 있고; 고리 a는 선택 가능하게 치환될 수 있고; n은 1 내지 5의 정수이고; R5, R6, 및 R7 각각은 독립적으로, H, 할로, 또는 유기 모이어티이거나 이를 포함한다. 일부 실시 예들에서, R5, R6, 및 R7 각각은 독립적으로, H, 할로, 선택 가능하게 치환된 지방족, 선택 가능하게 치환된 헤테로지방족, 선택 가능하게 치환된 지환족, 선택 가능하게 치환된 사이클로헤테로지방족, 또는 선택 가능하게 치환된 방향족이거나 이를 포함한다. 일부 실시 예들에서, R5, R6, 및 R7 각각은 독립적으로, H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 헤테로알킬, 선택 가능하게 치환된 헤테로알케닐, 선택 가능하게 치환된 헤테로알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아미노알킬, 선택 가능하게 치환된 티오알콕시, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로헤테로알킬, 선택 가능하게 치환된, 또는 선택 가능하게 치환된 아릴 헤테로사이클릴이거나 이를 포함할 수 있다. 특정한 실시 예들에서, R5, R6, R7, 및 고리 a에 대한 치환기(들) 각각은 독립적으로, H, 할로, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아미노알킬이거나 이를 포함한다. R5, R6, R7, 및 고리 a에 대한 치환기의 예들은 알킬 또는 아릴에 대해 본 명세서에 기술된 임의의 치환기를 포함할 수 있다.
다른 실시 예들에서, SAM 전구체는 유기 금속 (organometallic) 전구체, 유기 준금속 (organometalloid) 전구체, 또는 유기 셀레늄 (organoselenium) 전구체를 포함한다. 이러한 전구체들은 알데하이드 작용기 (-C(O)H), 케톤 작용기 (예를 들어, -C(O)R1, 여기서 R1은 본 명세서에 기술된 임의의 유기 모이어티일 수 있음), 이소티오시아네이트 작용기 (-NCS), 또는 실란 작용기 (예를 들어, -SiR4R5R6 또는 >SiR5R6, 여기서 비제한적인 R4, R5, 및 R6이 본 명세서에 기술됨) 를 더 포함할 수 있다. 유기 금속 전구체는 하나 이상의 유기 모이어티들 (예를 들어, 본 명세서에 기술된 임의의 모이어티들) 및 하나 이상의 금속 원자들 (예를 들어, 티타늄 (Ti), 몰리브덴 (Mo), 갈륨 (Ga), 인듐 (In), 또는 주석 (Sn)) 을 포함할 수 있다. 유기 준금속 전구체는 하나 이상의 유기 모이어티들 (예를 들어, 본 명세서에 기술된 임의의 것) 및 하나 이상의 준금속 원자들 (예를 들어, 실리콘 (Si), 게르마늄 (Ge), 비소 (As), 안티몬 (Sb), 또는 텔루륨 (Te)) 을 포함할 수 있다. 유기 셀레늄 전구체는 하나 이상의 유기 모이어티들 (예를 들어, 본 명세서에 기술된 임의의 모이어티들) 및 하나 이상의 셀레늄 (Se) 원자들을 포함할 수 있다. 또 다른 실시 예들에서, 본 명세서의 임의의 SAM 전구체 (예를 들어, 알데하이드들, 케톤들, 실란들, 옥시실란들, 고리형 아자실란들, 및 고리형 티오실란들) 는: 금속 원자 (예를 들어, Ti, Mo, Ga, In, 또는 Sn), 준금속 원자 (예를 들어, Si, Ge, As, Sb, 또는 Te), 및/또는 Se 원자 중 하나 이상을 포함할 수 있다.
도 3c를 다시 참조하면, 동작 (370) 은 SAM 전구체들이 흡착된 실리콘-및-산소-함유 표면에 대해 선택적인 실리콘-및-질소-함유 표면의 에칭을 제공한다. ALE가 예로서 본 명세서에 기술되지만, 연속적인 플라즈마 에칭을 포함하는 다른 에칭 프로세스들이 대신에 또는 ALE와 조합하여 사용될 수도 있다는 것이 이해될 것이다. 이 에칭 동작은 본 명세서에 기술된 임의의 실시 예들을 포함할 수 있다.
도 3c의 동작 (370) 은 ALE를 사용하여 실리콘-및-산소-함유 표면에 대해 실리콘-및-질소-함유 표면을 에칭하도록 수행될 수도 있는 3 개의 예시적인 동작들을 도시한다. 동작 (360) 은 기판의 표면 상에 증착되는 플라즈마 반응성 종에 기판을 노출시키는 것을 수반한다. 동작 (362) 에서, 기판의 표면으로부터 재료를 제거하기 위해, 기판은 아르곤 플라즈마, 또는 헬륨 플라즈마, 또는 질소 플라즈마와 같은 에너지 소스 (예를 들어, 활성화 또는 스퍼터링 가스 또는 제거를 유도하는 화학적으로 반응성 종) 에 노출될 수도 있다. 동작 (364) 에서, 동작 (360) 및 동작 (362) 은 선택 가능하게 사이클들로 반복될 수도 있다. 에칭 프로세스들 및 조건들에 대한 추가 상세들이 본 명세서에 기술되고 도 3c의 프로세스에서 채용될 수 있다.
특정한 개시된 실시 예들은 상이한 재료들의 4 개 이상의 노출된 표면들을 갖는 기판들 상의 선택적인 에칭에 적합할 수도 있다.
본 명세서에 기술된 실시 예들은 2015 년 2 월 20 일 공개된 Carver 등의 "Atomic Layer Etching: An Industry Perspective", ECS Journal of Solid State Science and Technology N5005-N5009 (2015) 에 기술된 것과 같은, 4 컬러 패터닝 프로세스들을 선택적으로 에칭하는 것과 관련되고, 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다. 예를 들어, 4 컬러 패터닝 스킴은 4 개의 상이한 재료 타입들 및 다른 재료들에 대해 선택적인 재료 각각을 선택적으로 에칭하기 위한 프로세스들을 포함한다. 에칭 전에 SAM 전구체들의 도입은 기판 상의 하나 이상의 컬러를 선택적으로 패시베이팅하고, 이에 따라 에칭 선택도를 개선하도록 사용될 수 있다.
부가적인 SAM 전구체들이 사용될 수도 있다. 무기 표면들의 기능화는 2018 년 10 월 30 일에 공개된 Gasvoda 등의 "Gas Phase Organic Functionalization of SiO2 with Propanoyl Chloride", Langmuir에 더 기술되고, 이는 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
도 4는 SAM 전구체들에 노출시 실리콘 옥사이드 표면 및 실리콘 나이트라이드 표면의 적외선 흡광도 변화를 포함하는 실험 데이터를 도시한다. 예시적인 전구체는 벤즈알데하이드이다. 데이터는 실리콘 옥사이드에 대해 실리콘 나이트라이드의 매우 선택적인 기능화를 입증한다. 특정한 이론에 얽매이지 않고, 벤즈알데하이드는 실리콘 나이트라이드 재료의 후속 에칭을 감소시키는 sp2 탄소-풍부 실리콘 나이트라이드 표면을 생성하여 실리콘 옥사이드에 대해 개선된 에칭 선택도를 발생시킨다고 여겨진다.
도 5는 ALE 사이클들의 함수로서 상부에 벤즈알데하이드 전구체들이 없는 실리콘 나이트라이드 재료들 (좌측) 그리고 상부에 벤즈알데하이드 전구체들을 갖는 (우측) 적외선 흡광도 변화의 실험 데이터를 도시한다. 약 870 ㎝-1의 Si-N-Si 흡광도의 연속적인 감소는 사이클들이 진행됨에 따라 연속적으로 보다 적은 에칭으로, 초기 사이클들에서 실리콘 나이트라이드 에칭들을 나타낸다. 약 1300 ㎝-1에서 CFx 흡광도의 상승은 에칭의 연속적인 감소를 유발하는 탄소-기반 패시베이션의 축적을 확인해 준다. 데이터는 벤즈알데하이드 전구체들을 갖는 실리콘 나이트라이드 재료가 벤즈알데하이드 전구체들이 없는 실리콘 나이트라이드 재료보다 덜 에칭된다는 것을 나타낸다.
도 6은 ALE 사이클들의 함수로서 에칭된 실리콘 나이트라이드의 실험적인 타원 편광 데이터를 도시한다. 데이터는 CFx ALE 프로세스에 노출된 베어, 기능화되지 않은 실리콘 나이트라이드 표면 (원형), 뿐만 아니라 동일한 에칭 프로세스에 노출된 벤즈알데하이드-기능화된 실리콘 나이트라이드 표면 (삼각형들) 을 포함한다. 실리콘 나이트라이드 표면들 상의 벤즈알데하이드 기능화는, 10.5 ㎚의 에칭을 발생시키는 기능화되지 않은 실리콘 나이트라이드 표면과 비교하여 15 사이클들에서 단지 8.5 ㎚의 에칭을 발생시킨다. 이러한 결과들은 벤즈알데하이드가 실리콘 옥사이드를 에칭하도록 사용된 에칭 화학 물질들에 노출될 때 잠재적으로 상당히 감소된 실리콘 나이트라이드 손실을 달성하기 위한 우수한 SAM 후보임을 암시한다. 이들 조건들 하에서, 실리콘 옥사이드 표면은 SAM 전구체 처리와 무관하게 ALE 프로세스에 의해 효과적으로 에칭될 것으로 예상된다.
도 7은 표면 상의 벤즈알데하이드의 흡수 (uptake) 를 측정하는, 약 1630 ㎝-1에서 -C=C- 스트레치 진동 모드의 적외선 흡수 강도를 도시한다. 5 개의 상이한 표면들: 증착될 때 (as deposited) SiNx, 증착될 때 SiO2, 에칭된 SiO2, 에칭된 SiNx 막, 및 에칭 정지를 유발하는 보다 두꺼운 폴리머 층을 갖는 에칭된 SiNx 막이 비교되었다. 결과들은 SiO2 및 SiNx의 플루오로카본-기반 원자 층 에칭이 벤즈알데하이드의 기본 선택도를 변화시키지 않고, SiNx에 우선적으로 부착되게 한다. 이들 조건들 하에서, SAM 전구체는 실리콘-및-산소-함유 표면과 비교하여, 증착될 때 표면 또는 에칭된 표면으로서 제공되든, 실리콘-및-질소-함유 표면에 대한 선택도를 디스플레이할 것으로 예상된다.
도 8은 ALE 사이클들의 증가하는 수의 함수로서, 타원 편광법에 의해 측정된 SiO2 및 SiN x 에 대한 막 두께의 변화를 도시한다. 꽉찬 (solid) 기호들은 베어, 기능화되지 않은 SiO2 및 SiN x 표면들 상의 ALE를 나타낸다; 빈 (open) 심볼들은 벤즈알데하이드-노출된 SiO2 및 SiN x 상의 ALE로부터이다. 15 ㎚까지의 SiO2의 타겟 에칭에 대해 계산된 선택도는 두 경우들 모두에 대한 범례 (legend) 에 포함된다. 선택도는 표면들이 벤즈알데하이드에 미리 노출된 경우에 2 배 이상 높다. 알 수 있는 바와 같이, SAM 전구체의 사용은 기능화되지 않은 표면과 비교하여 선택도 개선을 제공할 수 있다.
도 9a 및 도 9b는 특정한 SAM 전구체들에 노출시 실리콘 옥사이드 표면 및 실리콘 나이트라이드 표면의 적외선 흡광도 변화를 포함하는 실험 데이터를 도시한다. 도 9a에서, 예시적인 전구체는 3,5,5-트리메틸헥사날이다. 데이터는 실리콘 옥사이드에 대해 실리콘 나이트라이드의 매우 선택적인 기능화를 입증한다. 도 9b에서, 예시적인 전구체는 N-메틸-아자-2,2,4-트리메틸실라사이클로 펜탄이고, 이는 실리콘 나이트라이드에 대해 실리콘 옥사이드에 우선적으로 부착된다. 실리콘 나이트라이드 표면들이 대기압에 따라 산화되는 것으로 공지되기 때문에, 실리콘 나이트라이드 표면 상에서 고리형 아자실란들의 일부 부착이 관찰된다. 이들 조건들 하에서, 실리콘 나이트라이드 표면은 실리콘 옥사이드 표면에 대해 우선적으로 에칭될 것으로 예상된다.
장치
특정한 실시 예들에서, 원자 층 에칭 (ALE) 동작들에 적합할 수도 있는 플라즈마 반응기들이 이제 기술된다. 예시적인 ICP 반응기들은 또한 2013 년 12 월 10 일 출원된, 명칭이 "REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공개 번호 제 2014/0170853 호에 기술되고, 이는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 10은 본 명세서의 특정한 실시 예들을 구현하기 위해 적절한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (700) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp. 에 의해 생산된 Kiyo™ 반응기이다. 유도 결합 플라즈마 장치 (700) 는 챔버 벽들 (701) 및 윈도우 (711) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (724) 를 포함한다. 챔버 벽들 (701) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (711) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (750) 가 전체 프로세싱 챔버 (701) 를 상부 서브챔버 (702) 및 하부 서브챔버 (703) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (750) 는 제거될 수도 있고, 이에 따라 서브챔버들 (702 및 703) 로 이루어진 챔버 공간을 활용한다. 척 (717) 이 하단 내측 표면 근방의 하부 서브챔버 (703) 내에 위치된다. 척 (717) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (719) 를 수용하고 홀딩하도록 구성된다. 척 (717) 은 존재한다면 웨이퍼 (719) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (717) 을 둘러싸고, 척 (717) 위에 존재한다면 웨이퍼 (719) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (717) 은 또한 웨이퍼를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (717) 으로부터 웨이퍼 (719) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (717) 은 RF 전력 공급부 (723) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (723) 는 연결부 (727) 를 통해 매칭 회로 (721) 에 접속된다. 매칭 회로 (721) 는 연결부 (725) 를 통해 척 (717) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (723) 는 척 (717) 에 접속된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (711) 위에 위치된 코일 (733) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (733) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 10에 도시된 코일 (733) 의 예는 3 개의 턴들을 포함한다. 코일 (733) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (733) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (741) 를 포함한다. 일반적으로, RF 전력 공급부 (741) 는 연결부 (745) 를 통해 매칭 회로 (739) 에 접속된다. 매칭 회로 (739) 는 연결부 (743) 를 통해 코일 (733) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (741) 는 코일 (733) 에 접속된다. 선택 가능한 패러데이 차폐부 (749) 가 코일 (733) 과 윈도우 (711) 사이에 위치된다. 패러데이 차폐부 (749) 는 코일 (733) 에 대해 이격된 관계로 유지된다. 패러데이 차폐부 (749) 는 윈도우 (711) 바로 위에 배치된다. 코일 (733), 패러데이 차폐부 (749), 및 윈도우 (711) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부는 금속 또는 다른 종이 플라즈마 챔버 (701) 의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 질소, 수소, 아르곤, 알데하이드들 및 이소티오시아네이트들과 같은 SAM 전구체들, 플루오로카본들, 등) 은 상부 챔버 (702) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (760) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (770) 을 통해 프로세싱 챔버 (701) 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (740) 가, 프로세스 챔버 (701) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (701) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALD의 퍼지 동작 동안 챔버 (701) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세싱 챔버 (701) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안 쓰로틀 (throttle) 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 결합된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (760 및/또는 770) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (760) 를 통해서만, 또는 측면 가스 플로우 유입구 (770) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (749) 및/또는 선택 가능한 그리드 (750) 는 챔버 (701) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (749) 및 선택 가능한 그리드 (750) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (760 및/또는 770) 를 통해 챔버 (701) 내로 도입되도록, 챔버 (701) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (733) 을 통해 흐르게 하도록, RF 전력 공급부 (741) 로부터 코일 (733) 로 무선 주파수 전력이 공급된다. 코일 (733) 을 통해 흐르는 RF 전류는 코일 (733) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (702) 내에 유도 전류를 생성한다. 웨이퍼 (719) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼의 피처들을 선택적으로 에칭하고 웨이퍼 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (702) 및 하부 서브챔버 (703) 모두가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브챔버 (702) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (702) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (750) 는 하부 서브챔버 (703) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치는 하부 서브챔버 (703) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (722) 를 통해 하부 서브챔버 (703) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (717) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
일반적으로, ICP 반응기 또는 CCP 반응기는 다양한 설비들에서 사용되는 챔버들을 포함할 수도 있다. 챔버 (701) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (701) 에 커플링된다. 부가적으로, 챔버 (701) 는 로봇들로 하여금 통상적인 자동화를 사용하여 챔버 (701) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
이하의 특성들을 갖는 적합한 제어기들은 도 10에 도시된 바와 같은 ICP 플라즈마 반응기들뿐만 아니라 다른 플라즈마 반응기들과 함께 사용될 수도 있다. 일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (730) 가 프로세스 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (730) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치는 약 50 ㎳보다 크거나, 최대 약 500 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처, 하드웨어 제어 시스템들, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 제어기 (730) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (730) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기 (730) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (730) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (730) 는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기 (730) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 11은 VTM (Vacuum Transfer Module) (838) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 로드 록 또는 이송 모듈로 또한 공지된 에어록 (830) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는, 4 개의 프로세싱 모듈들 (820a 내지 820d) 을 갖는 VTM (838) 에 도시된다. 예로서, 프로세싱 모듈들 (820a 내지 820d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시 예들에서, SAM 전구체에 대한 노출 및 ALE은 동일한 모듈에서 수행된다. 일부 실시 예들에서, SAM 전구체에 대한 노출 및 ALE은 동일한 툴의 상이한 모듈들에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (820a 내지 820d 중 어느 하나) 은 본 명세서에 개시된 바와 같이, 즉, 컨포멀한 막들을 증착하고, ALD에 의해 막들을 선택적으로 증착하고, 패턴들을 에칭하고, 그리고 개시된 실시 예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (830) 및 프로세스 모듈들 (820a 내지 820d) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 스테이션을 VTM (838) 에 인터페이싱하는 패싯 (facet) (836) 을 갖는다. 패싯 각각 내부에서, 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (826) 의 통과를 검출하도록 사용된다.
로봇 (822) 이 스테이션들 사이에서 웨이퍼 (826) 를 이송한다. 일 실시 예에서, 로봇 (822) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (822) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (826) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (824) 를 갖는다. ATM (Atmospheric Transfer Module) (840) 의 프론트-엔드 (front-end) 로봇 (832) 은 카세트 또는 LPM (Load Port Module) (842) 의 FOUP (Front Opening Unified Pod) (834) 로부터 에어록 (830) 으로 웨이퍼들 (826) 을 이송하도록 사용된다. 프로세스 모듈들 (820a 내지 820d) 내부의 모듈 중심 (828) 은 웨이퍼 (826) 를 배치하기 위한 일 위치이다. ATM (840) 내의 얼라이너 (aligner) (844) 가 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼가 LPM (842) 내의 FOUP들 (834) 중 하나에 배치된다. 프론트-엔드 로봇 (832) 은 FOUP (834) 로부터 웨이퍼 (826) 가 에칭되거나 프로세싱되기 전에 적절하게 센터링되게 하는, 얼라이너 (844) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (826) 는 프론트-엔드 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (826) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (830) 로부터, 웨이퍼 (826) 는 로봇 (822) 에 의해 VTM (838) 을 통해 그리고 프로세스 모듈들 (820a 내지 820d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (822) 은 암들 각각 상의 엔드 이펙터들 (824) 을 사용한다. 일단 웨이퍼 (826) 가 프로세싱되면, 웨이퍼는 로봇 (822) 에 의해 프로세스 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프론트-엔드 로봇 (832) 에 의해 FOUP들 (834) 중 하나 또는 얼라이너 (844) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 10에 대해 상기 기술된 바와 같은 제어기가 도 12의 툴을 사용하여 구현될 수도 있다.
도 12a 내지 도 12c는 본 명세서에 기술된 에칭 동작들을 수행하기 위해 사용될 수도 있는 조정 가능한 갭 용량 결합 한정된 RF 플라즈마 반응기 (900) 의 실시 예를 예시한다. 도시된 바와 같이, 진공 챔버 (902) 는 하부 전극 (906) 을 하우징하는 내부 공간을 둘러싸는 챔버 하우징 (904) 을 포함한다. 챔버 (902) 의 상부 부분에서, 상부 전극 (908) 은 하부 전극 (906) 으로부터 수직으로 이격된다. 상부 전극 및 하부 전극 (908, 906) 의 평면 표면들은 전극들 사이의 수직 방향에 실질적으로 평행하고 직교한다. 바람직하게 상부 전극 및 하부 전극 (908, 906) 은 원형이고, 수직 축에 대해 동축이다. 상부 전극 (908) 의 하부 표면은 하부 전극 (906) 의 상부 표면과 대면한다. 이격된 대면 전극 표면들은 그 사이에 조정 가능한 갭 (910) 을 규정한다. 동작 동안, 하부 전극 (906) 은 RF 전력 공급부 (매칭부) (920) 에 의해 RF 전력이 공급된다. RF 전력은 RF 공급 도관 (922), RF 스트랩 (924) 및 RF 전력 부재 (926) 를 통해 하부 전극 (906) 에 공급된다. 접지 차폐부 (936) 는 하부 전극 (906) 에 보다 균일한 RF 필드를 제공하도록 RF 전력 부재 (926) 를 둘러쌀 수도 있다. 전체 내용이 본 명세서에 참조로서 인용된, 공동으로 소유된 미국 특허 제 7,732,728 호에 기술된 바와 같이, 웨이퍼는 웨이퍼 포트 (982) 를 통해 삽입되고 프로세싱을 위해 하부 전극 (906) 상의 갭 (910) 내에 지지되고, 프로세스 가스가 갭 (910) 으로 공급되고 RF 전력에 의해 플라즈마 상태로 여기된다. 상부 전극 (908) 은 전력 공급되거나 (powered) 접지될 수 있다.
도 12a 내지 도 12c에 도시된 실시 예에서, 하부 전극 (906) 은 하부 전극 지지 플레이트 (916) 상에 지지된다. 하부 전극 (906) 과 하부 전극 지지 플레이트 (916) 사이에 개재된 절연체 링 (914) 이 지지 플레이트 (916) 로부터 하부 전극 (906) 을 절연한다.
RF 바이어스 하우징 (930) 이 RF 바이어스 하우징 보울 (932) 상의 하부 전극 (906) 을 지지한다. 보울 (932) 은 RF 바이어스 하우징 (930) 의 암 (934) 에 의해 챔버 벽 플레이트 (918) 내의 개구부를 통해 도관 지지 플레이트 (938) 에 연결된다. 바람직한 실시 예에서, RF 바이어스 하우징 보울 (932) 및 RF 바이어스 하우징 암 (934) 은 일 컴포넌트로서 일체로 형성되지만, 암 (934) 및 보울 (932) 은 또한 함께 볼트 결합되거나 접합된 2 개의 별도의 컴포넌트들일 수 있다.
RF 바이어스 하우징 암 (934) 은 RF 전력을 통과시키기 위한 하나 이상의 중공형 통로들 및 설비들, 예컨대 하부 전극 (906) 의 후면 상의 공간에서 진공 챔버 (902) 외부로부터 진공 챔버 (902) 내부로 가스 냉각제, 액체 냉각제, RF 에너지, 리프트 핀 제어를 위한 케이블들, 전기적 모니터링 및 작동 신호들을 포함한다. 설비 도관 (940) 은 설비 컴포넌트들을 위한 통로를 제공한다. 설비 컴포넌트들의 추가 상세들은 미국 특허 제 5,948,704 호 및 제 7,732,728 호에 기술되고 기술의 간략함을 위해 본 명세서에 도시되지 않았다. 갭 (910) 은 바람직하게 한정 링 어셈블리 또는 슈라우드 (미도시) 에 의해 둘러싸이고, 그 세부 사항들은 본 명세서에 참조로서 인용된, 공동으로 소유된 공개된 미국 특허 제 7,740,736 호에서 발견될 수 있다. 진공 챔버 (902) 의 내부는 진공 포털 (980) 을 통해 진공 펌프에 연결함으로써 저압으로 유지된다.
도관 지지 플레이트 (938) 는 작동 메커니즘 (actuation mechanism) (942) 에 부착된다. 작동 메커니즘의 세부 사항들은 본 명세서에 상기에 인용된, 공동으로 소유된 미국 특허 제 7,732,728 호에 기술된다. 서보 기계 모터, 스텝퍼 모터 등과 같은 작동 메커니즘 (942) 은 예를 들어, 볼 스크루 및 볼 스크루를 회전시키기 위한 모터와 같은 스크루 기어 (946) 에 의해, 수직 선형 베어링 (944) 에 부착된다. 갭 (910) 의 사이즈를 조정하기 위한 동작 동안, 작동 메커니즘 (942) 은 수직 선형 베어링 (944) 을 따라 이동한다. 도 12a는 작동 메커니즘 (942) 이 작은 갭 (910 a) 을 발생시키는 선형 베어링 (944) 상의 높은 위치에 있을 때의 배열을 예시한다. 도 12b는 작동 메커니즘 (942) 이 선형 베어링 (944) 상의 중간 위치에 있을 때의 배열을 예시한다. 도시된 바와 같이, 하부 전극 (906), RF 바이어스 하우징 (930), 도관 지지 플레이트 (938), RF 전력 공급부 (920) 는 모두 챔버 하우징 (904) 및 상부 전극 (908) 에 대해 하부로 이동하여, 중간 사이즈의 갭 (910 b) 을 발생시킨다.
도 12c는 작동 메커니즘 (942) 이 선형 베어링 상의 낮은 위치에 있을 때 큰 갭 (910 c) 을 예시한다. 바람직하게, 상부 전극 및 하부 전극 (908, 906) 은 갭 조정 동안 동축으로 유지되고, 갭에 걸친 상부 전극 및 하부 전극의 대면 표면들은 평행하게 유지된다.
이 실시 예는 예를 들어, 300 ㎜ 웨이퍼들 또는 평판 디스플레이들과 같은 큰 직경의 기판에 걸쳐 균일한 에칭을 유지하기 위해, 조정될 다단계 프로세스 레시피들 (BARC, HARC, 및 STRIP 등) 동안 CCP 챔버 (902) 내 하부 전극과 상부 전극 (906, 908) 사이의 갭 (910) 을 허용한다. 특히, 이 챔버는 하부 전극과 상부 전극 (906, 908) 사이에 조정 가능한 갭을 제공하기 위한 선형 운동을 허용하는 기계적 배열에 속한다.
도 12a는 도관 지지 플레이트 (938) 에 대한 근위 단부에서 그리고 챔버 벽 플레이트 (918) 의 단차진 (step) 플랜지 (928) 에 대해 원위 단부에서 시일링된 (seal), 측방향으로 편향된 (deflect) 벨로우즈 (950) 를 예시한다. 단차진 플랜지의 내경은 RF 바이어스 하우징 암 (934) 이 통과하는 챔버 벽 플레이트 (918) 내에 개구부 (912) 를 규정한다. 벨로우즈 (950) 의 원위 단부는 클램프 링 (952) 에 의해 클램핑된다.
측방향으로 편향된 벨로우즈 (950) 는 RF 바이어스 하우징 (930), 도관 지지 플레이트 (938) 및 작동 메커니즘 (942) 의 수직 이동을 허용하는 동안 진공 시일을 제공한다. RF 바이어스 하우징 (930), 도관 지지 플레이트 (938) 및 작동 메커니즘 (942) 은 캔틸레버 어셈블리 (cantilever assembly) 로 지칭될 수 있다. 바람직하게, RF 전력 공급부 (920) 는 캔틸레버 어셈블리와 함께 이동하고, 도관 지지 플레이트 (938) 에 부착될 수 있다. 도 12b는 캔틸레버 어셈블리가 중간 위치에 있을 때 중립 위치에 있는 벨로우즈 (950) 를 도시한다. 도 12c는 캔틸레버 어셈블리가 낮은 위치에 있을 때 측방향으로 편향된 벨로우즈 (950) 를 도시한다.
래비린스 (labyrinth) 시일 (948) 이 벨로우즈 (950) 와 플라즈마 프로세싱 챔버 하우징 (904) 의 내부 사이에 입자 배리어를 제공한다. 고정된 차폐부 (956) 는 이동식 차폐부 플레이트 (958) 가 캔틸레버 어셈블리의 수직 이동을 수용하도록 수직으로 이동하는 래비린스 홈 (960) (슬롯) 을 제공하기 위해 챔버 벽 플레이트 (918) 에서 챔버 하우징 (904) 의 내측 벽 내부에 고정되게 부착된다. 이동식 차폐 플레이트 (958) 의 외측 부분은 하부 전극 (906) 의 모든 수직 위치들에서 슬롯 내에 남는다.
도시된 실시 예에서, 래비린스 시일 (948) 은 래비린스 홈 (960) 을 규정하는 챔버 벽 플레이트 (918) 내의 개구부 (912) 의 주변부에서 챔버 벽 플레이트 (918) 의 내측 표면에 부착된 고정된 차폐부 (956) 를 포함한다. 이동식 차폐 플레이트 (958) 는 부착되고 RF 바이어스 하우징 암 (934) 으로부터 방사상으로 연장하고, 여기서 암 (934) 은 챔버 벽 플레이트 (918) 내의 개구부 (912) 를 통과한다. 이동식 차폐부 플레이트 (958) 는 제 1 갭만큼 고정된 차폐부 (956) 로부터 이격되고 제 2 갭만큼 챔버 벽 플레이트 (918) 의 내부 표면으로부터 이격되는 동안 래비린스 홈 (960) 내로 연장하여, 캔틸레버 어셈블리로 하여금 수직으로 이동하게 한다. 래비린스 시일 (948) 은 진공 챔버 내부 (905) 로 벨로우즈 (950) 로부터 쪼개진 (spall) 입자들의 마이그레이션 (migration) 을 차단하고, 라디칼들이 후속하여 쪼개지는 증착물들을 형성할 수 있는 벨로우즈 (950) 로 프로세스 가스 플라즈마로부터의 라디칼들이 마이그레이팅하는 것을 차단한다.
도 12a는 캔틸레버 어셈블리가 높은 위치에 있을 때 (작은 갭 (910 a)) RF 바이어스 하우징 암 (934) 위의 래비린스 홈 (960) 의 보다 높은 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 도 12c는 캔틸레버 어셈블리가 낮은 위치에 있을 때 (큰 갭 (910 c)) RF 바이어스 하우징 암 (934) 위의 래비린스 홈 (960) 의 보다 낮은 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 도 12b는 캔틸레버 어셈블리가 중간 위치에 있을 때 (중간 갭 (910 b)) 래비린스 홈 (960) 내의 중립 또는 중간 위치에 있는 이동식 차폐 플레이트 (958) 를 도시한다. 래비린스 시일 (948) 이 RF 바이어스 하우징 암 (934) 을 중심으로 대칭인 것으로 도시되지만, 다른 실시 예들에서, 래비린스 시일 (948) 은 RF 바이어스 암 (934) 을 중심으로 비대칭적일 수도 있다.
정의들
"지방족 (aliphatic)"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 하이드로카본기를 의미하고, 알칸들 (또는 알킬), 알켄들 (또는 알케닐), 알킨들 (또는 알키닐), 이들의 고리형 버전들을 포함하고, 직쇄 및 분지쇄 배열들을 더 포함하고, 또한 모든 입체 및 위치 이성질체들을 포함한다. 이러한 지방족은 알킬기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환되거나 치환되지 않을 수 있다.
"알케닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 이중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알켄 (parent alkene) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알케닐기는 분지형, 직쇄형, 고리형 (예를 들어, 사이클로알케닐), 시스, 또는 트랜스 (예를 들어, E 또는 Z) 일 수 있다. 예시적인 알케닐은 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 포함한다. 알케닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 알킬기이다. 예시적인 알콕시기들은 메톡시, 에톡시, 부톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다. "알킬"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 포화된 1가 하이드로카본을 의미하고, 여기서 포화된 1가 하이드로카본은 모 화합물 (예를 들어, 알칸) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거함으로써 유도될 수 있다. 알킬기는 분지형, 직쇄형, 또는 고리형 (예를 들어, 사이클로알킬) 일 수 있다. 예시적인 알킬은 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, t-부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실, 등을 포함한다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 알킬기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 예를 들어, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (3) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬); (4) 아민 (예를 들어, -C(O)NR1R2 또는 -NHCOR1, 여기서 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 선택되고, 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (5) 아릴; (6) 아릴알콕시 (예를 들어, L은 알킬이고 R은 아릴인 -O-L-R); (7) 아릴로일 (예를 들어, R이 아릴인 -C(O)-R); (8) 아지도 (예를 들어, -N3); (9) 시아노 (예를 들어, -CN); (10) 알데하이드 (예를 들어, -C(O)H); (11) C3-8 사이클로알킬; (12) 할로; (13) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 예컨대 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (14) 헤테로사이클릴옥시 (예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (15) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같은, 헤테로사이클릴); (16) 하이드록실 (예를 들어, -OH); (17) N-보호된 아미노; (18) 니트로 (예를 들어, -NO2); (19) 옥소 (예를 들어, =O); (20) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 알킬임); (21) 티올 (예를 들어, -SH); (22) -CO2R1, 여기서 R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (23) -C(O)NR1R2, 여기서 R1 및 R2 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (24) -SO2R1, 여기서 R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (25) -SO2NR1R2, 여기서 R1 및 R2 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (26) -SiR1R2R3, 여기서 R1 및 R2 및 R3 각각은 독립적으로 (a) 수소, (b) F, Cl, Br, 또는 I과 같은 할로, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, 또는 (f) C1-6 알콕시로 구성된 그룹으로부터 선택됨 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); 및 (27) -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서, 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알키닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 삼중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알킨의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알키닐기는 분지형, 직쇄형, 또는 고리형 (예를 들어, 사이클로알키닐) 일 수 있다. "알키닐 (alkynyl)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 포함한다. 알키닐기는 고리형 또는 비고리형일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"아미노 (amino)"는 -NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이 헤테로사이클릴기를 형성한다.
"아미노알킬"은 본 명세서에 정의된 바와 같은 아미노기에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다. 비제한적인 아미노알킬기들은 -L-NRN1RN2를 의미하고, 여기서 L은 본 명세서에 정의된 바와 같이 다가 알킬기이고, RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이 헤테로사이클릴기를 형성한다.
"방향족 (aromatic)"은 달리 명시되지 않는 한, 단일 고리 (예를 들어, 페닐) 또는 적어도 하나의 고리가 방향족인 복수의 축합 고리들 (예를 들어, 나프틸, 인돌릴, 또는 피라졸로피리디닐) 을 갖는 5 내지 15 개의 고리 원자들로부터 고리형, 공액기 또는 모이어티를 의미한다; 즉, 적어도 하나의 링, 및 선택 가능하게 복수의 축합 고리들은 연속적인, 비국소 (delocalized) π-전자 시스템을 갖는다. 통상적으로, 평면 π-전자들의 수는 Huckel 규칙 (4n+2) 에 대응한다. 모 구조체에 대한 부착 지점은 통상적으로 축합된 고리 시스템의 방향족 부분을 통한다. 이러한 방향족은 치환되지 않거나 알킬기 또는 아릴기에 대해 본 명세서에 기술된 기들과 같은 하나 이상의 기들로 치환될 수 있다. 또 다른 치환기들은 지방족, 할로지방족, 할로, 나이트레이트 (nitrate), 시아노, 술포네이트, 술포닐, 또는 다른 것들을 포함할 수 있다.
"아릴"은 적어도 5 개의 탄소 원자들 내지 15 개의 탄소 원자들 (C5-15), 예컨대 5 내지 10 개의 탄소 원자들 (C5-10) 을 포함하고, 단일 고리 또는 복수의 축합된 고리들을 갖는 방향족 카보사이클릭기를 의미하고, 축합된 고리들은 본 명세서에 개시된 화합물들의 나머지 위치에 대한 부착 지점이 방향족 카보사이클릭기의 원자를 통한다면 방향족일 수 있거나 아닐 수도 있다. 아릴기들은 수소 이외의 하나 이상의 기들, 예컨대 지방족, 헤테로지방족, 방향족, 다른 작용기들, 또는 이들의 임의의 조합으로 치환될 수도 있다. 예시적인 아릴기들은 벤질, 나프탈렌, 페닐, 비페닐, 페녹시벤젠, 등을 포함하지만, 이로 제한되지 않는다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로 원자를 갖는 방향족기를 함유하는 기로서 정의되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로 원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로 아릴 (non-heteroaryl) 은 헤테로 원자를 포함하지 않는 방향족기를 포함하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 다음으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 3, 4 또는 5 개의 치환기들로 치환될 수 있다: (1) C1-6 알카노일 (예를 들어, -C(O)-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬; (3) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (4) C1-6 알콕시-C1-6 알킬 (예를 들어, -L-O-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (5) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (6) C1-6 알킬술피닐-C1-6 알킬 (예를 들어,-L-S(O)-R, 여기서 L 및 R 각각이 독립적으로 C1-6 알킬임); (7) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬임); (8) C1-6 알킬술포닐-C1-6 알킬 (예를 들어, -L-SO2-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬); (9) 아릴; (10) 아민 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 선택되고, 또는 각각이 부착된 질소 원자와 함께 취해진 R1 및 R2는 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (11) C1-6 아미노알킬 (예를 들어, -L1-NR1R2 또는 -L2C(NR1R2)(R3)-R4, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되거나, R1 및 R2는 각각이 부착된 질소 원자와 함께, 본 명세서에 정의된 바와 같은, 헤테로사이클릴기를 형성하고; R3 및 R4 각각은 독립적으로 H 또는 C1-6 알킬임); (12) 헤테로아릴; (13) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (14) 아릴로일 (예를 들어, -C(O)-R, R은 아릴임); (15) 아지도 (예를 들어, -N3); (16) 시아노 (예를 들어, -CN); (17) C1-6 아지도알킬 (예를 들어, -L-N3, 여기서 L은 C1-6 알킬임); (18) 알데하이드 (예를 들어, -C(O)H); (19) 알데하이드-C1-6 알킬 (예를 들어, -L-C(O)H, 여기서 L은 C1-6 알킬임); (20) C3-8 사이클로알킬; (21) C1-6 알킬-C3-8 사이클로알킬 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임); (22) 할로; (23) C1-6 할로알킬 (예를 들어, -L1-X 또는 -L2-C(X)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; X는 플루오로, 브로모, 클로로, 또는 요오드이고; 그리고 R1 및 R2 각각은 독립적으로 H 또는 C1-6 알킬임); (24) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (25) 헤테로사이클릴옥시 (예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (26) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같이, 헤테로사이클릴임); (27) 하이드록실 (-OH); (28) C1-6 하이드록시알킬 (예를 들어, -L1-OH 또는 -L2-C(OH)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (29) 니트로; (30) C1-6 니트로알킬 (예를 들어, -L1-NO 또는 -L2-C(NO)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (31) N-보호된 아미노; (32) N-보호된 아미노-C1-6 알킬; (33) 옥소 (예를 들어, =O); (34) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 C1-6 알킬임); (35) 티오-C1-6 알콕시-C1-6 알킬 (예를 들어, -L-S-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (36) -(CH2)rCO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (37) -(CH2)rCONR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (38) -(CH2)rSO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (39) -(CH2)rSO2NR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은 독립적으로, (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴); (40) -(CH2)rNR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없음; (41) 티올 (예를 들어, -SH); (42) 퍼플루오로알킬 (예를 들어, -(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (43) 퍼플루오로알콕시 (예를 들어, -O-(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (44) 아릴옥시 (예를 들어, -O-R, R은 아릴임); (45) 사이클로알콕시 (예를 들어, -O-R, 여기서 R은 사이클로알킬임); (46) 사이클로알킬알콕시 (예를 들어, -O-L-R, 여기서 L은 알킬이고 R은 사이클로알킬임); (47) 아릴알콕시 (예를 들어, -O-L-R, L은 알킬이고 R은 아릴임); 및 (48) -SiR1R2R3, 여기서 R1 및 R2 및 R3 각각은 독립적으로, (a) 수소, (b) 할로, 예컨대 F, Cl, Br, 또는 I, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, 또는 (f) C1-6 알콕시로 구성된 그룹으로부터 선택됨 (예를 들어, -OR, 여기서 R은 C1-6 알킬임). 특정한 실시 예들에서, 치환되지 않은 아릴기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴기이다.
"지환족"은 본 명세서에 정의된 바와 같이, 고리형인 지방족기를 의미한다. 이러한 지환족기는 포화되거나 불포화될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.헵틸] 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로헤테로 지방족"은 본 명세서에 정의된 바와 같이, 고리형인 헤테로 지방족기를 의미한다. 이러한 사이클로헤테로 지방족기들은 포화되거나 불포화될 수 있다.
"사이클로헤테로알킬"은 3 내지 8 개의 탄소의 1가 포화 또는 불포화 비방향족 고리형 하이드로카본기를 의미하고, 이로 제한되는 것은 아니지만, 기에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태로부터 선택될 수 있는, 적어도 하나의 헤테로 원자를 갖는다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로헤테로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"할로"는 플루오로 (F), 클로로 (Cl), 브로모 (Br), 또는 요오드 (I) 를 의미한다.
"할로알킬 (haloalkyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로지방족"은 본 명세서에 정의된 바와 같이, 기 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 내지 20 개의 헤테로 원자들, 예컨대 1 내지 15 개의 헤테로 원자들, 또는 1 내지 5 개의 헤테로 원자들을 포함하는 지방족기를 의미한다.
"헤테로알킬", "헤테로알케닐" 및 "헤테로알키닐"은 각각 본 명세서에 정의된 바와 같은 알킬기, 알케닐기, 또는 알키닐기 (분지형, 직쇄형, 또는 고리형일 수 있음) 를 의미하고, 기 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 내지 20 개의 헤테로 원자들, 예컨대 1 내지 15 개의 헤테로 원자들, 또는 1 내지 5 개의 헤테로 원자들을 포함한다. 헤테로알킬기, 헤테로알케닐기, 및/또는 헤테로알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알킬기, 헤테로알케닐기, 및/또는 헤테로알키닐기는 알킬에 대해 본 명세서에 기술된 기들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"헤테로사이크릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 실리콘, 붕소 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 포함하는 3 원, 4 원 고리, 5 원 고리, 6 원 고리 또는 7 원 고리 (예를 들어, 5 원 고리, 6 원 고리 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 고리 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 고리 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic) 기, 트리사이클릭 (tricyclic) 기 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭들은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자히폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조피로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오피로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티아에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질술타밀 벤질술티밀, 비피라지닐, 비피리디닐, 카르바졸릴 (예를 들어, 4H-카르바졸릴), 카르볼리닐 (예를 들어, β-카르볼리닐), 크로마노닐, 크로마닐, 크로메닐, 시놀리닐, 코우마리닐, 시트디닐, 사이토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리디네티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카르바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조피로닐 (잔토닐 (xanthonyl)), 디벤조퀴녹살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤조제피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로이피리딜 (dihydroypyridyl), 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로 인돌릴, 디옥사닐, 디옥사지닐, 디옥신돌릴, 디옥시라닐, 디옥세닐 (dioxenyl), 디옥시닐 (dioxinyl), 디옥소벤조푸라닐, 디옥소릴 (dioxolyl), 디옥소테트라하이드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아니닐, 호모피페라지닐, 호모피페리디닐, 하이포잔티닐, 하이단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴 (예를 들어, 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴 (예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일 (isoindazoyl), 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디닐, 이속사졸릴, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르포리닐, 나프트인다졸릴, 나프트인돌일, 나프트이리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티옥솔릴, 나프토트리아졸릴, 나프톡신돌릴, 나프트이리디닐, 옥타하이드로이소퀴놀리닐, 옥사바이사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐 (oxepanyl), 옥세타노닐 (oxetanonyl), 옥세타닐, 옥세틸, 옥스테나일 (oxtenayl), 옥스인돌릴 (oxindolyl), 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐 (벤조티오푸라닐 (benzothiofuranyl)), 페녹사티이닐, 페녹사지닐, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐 (예를 들어, 4-피페리도닐), 프테리디닐 (pteridinyl), 퓨리닐, 피라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐 (예를 들어, 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴 (예를 들어, 2H-피롤릴), 피릴리움, 퀴나졸리닐, 퀴놀리닐, 퀴놀리지닐 (예를 들어, 4H-퀴놀리지닐), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 숙신이미딜, 술포라닐, 테트라하이드로푸라닐, 테트라하이드로푸릴, 테트라하이드로이소퀴놀리닐, 테트라하이드로이소퀴놀릴, 테트라하이드로피리디닐, 테트라하이드로피리딜 (피페리딜), 테트라하이드로피라닐, 테트라하이드로피로닐, 테트라하이드로퀴놀리닐, 테트라하이드로퀴놀릴, 테트라하이드로티에닐, 테트라하이드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐 (예를 들어, 6H-1,2,5-티아디아지닐 또는 2H, 6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티옥사닐, 티옥소릴, 타이미디닐, 타이미닐, 트리아지닐, 트리아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 우리실, 우리디닐, 잔테닐 (xanthenyl), 잔티닐, 잔티오닐, 등, 뿐만 아니라 이들의 개질된 형태들 (예를 들어, 하나 이상의 옥소 및/또는 아미노를 포함함) 및 이들의 염들을 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이클릴기는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"티오알콕시"는 -SR기를 의미하고, 여기서 R은 본 명세서에 정의된 바와 같은 선택 가능하게 치환된 알킬기이다. 예시적인 치환되지 않은 티오알콕시기들은 C1-6 티오알콕시기를 포함한다.
당업자는 상기 제공된 정의들이 용인할 수 없는 치환 패턴들 (예를 들어, 5 개의 상이한 기들로 치환된 메틸, 등) 을 포함하도록 의도되지 않는다는 것을 인식할 것이다. 이러한 용인할 수 없는 치환 패턴들은 당업자에 의해 용이하게 인식된다. 본 명세서에 개시되고 그리고/또는 상기 정의된 모든 작용기는, 달리 지시되지 않는 한, 치환되거나 치환되지 않을 수 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부 사항들로 한정되지 않을 것이다.

Claims (27)

  1. 기판들을 프로세싱하기 위한 방법에 있어서,
    실리콘-및-질소-함유 표면 및 실리콘-및-산소-함유 표면을 갖는 기판을 제공하는 단계;
    보호된 표면 및 기능화되지 않은 (non-functionalized) 표면을 형성하기 위해 탄소-함유 자기-조립된 단층 (self-assembled monolayer) 전구체가 실리콘-및-질소-함유 표면 또는 실리콘-및-산소-함유 표면 중 하나에 선택적으로 부착되도록 상기 기판을 비플라즈마 분위기의 상기 탄소-함유 자기-조립된 단층 전구체에 노출하는 단계; 및
    상기 보호된 표면을 포함하는 상기 기판을 상기 기능화되지 않은 표면을 에칭하기 위한 프로세스에 노출시키는 단계를 포함하는, 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 보호된 표면은 보호된 실리콘-및-질소-함유 표면을 포함하고, 그리고 상기 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-산소-함유 표면을 포함하고; 또는 상기 보호된 표면은 보호된 실리콘-및-산소-함유 표면을 포함하고, 그리고 상기 기능화되지 않은 표면은 기능화되지 않은 실리콘-및-질소-함유 표면을 포함하는, 기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는 상기 실리콘-및-산소-함유 표면에 대하여 상기 실리콘-및-질소-함유 표면과 보다 큰 반응성을 갖는 헤드기 (head group) 를 포함하고; 또는 상기 탄소-함유 자기-조립된 단층 전구체는 상기 실리콘-및-질소-함유 표면에 대하여 상기 실리콘-및-산소-함유 표면과 보다 큰 반응성을 갖는 헤드기를 포함하는, 기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는 유기 금속 (organometallic) 전구체, 유기 준금속 (organometalloid) 전구체, 또는 유기 셀레늄 (organoselenium) 전구체를 포함하는, 기판 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는,
    R1-C(O)-R2 또는 R1-NCS를 포함하고,
    R1은 유기 모이어티를 포함하고, 그리고
    R2는 수소 (H) 또는 유기 모이어티인, 기판 프로세싱 방법.
  6. 제 5 항에 있어서,
    R1 및/또는 R2 각각은 독립적으로, 선택 가능하게 치환된 지방족 (aliphatic), 선택 가능하게 치환된 헤테로지방족 (heteroaliphatic), 선택 가능하게 치환된 지환족 (cycloaliphatic), 선택 가능하게 치환된 사이클로헤테로지방족, 또는 선택 가능하게 치환된 방향족이거나 이를 포함하는, 기판 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는 알데하이드, 이소티오시아네이트 (isothiocyanate), 벤즈알데하이드, 수소 원자들을 치환한 하나 이상의 불소 원자들을 갖는 벤즈알데하이드의 유도체, 1-헥사날, 벤즈알데하이드, 3,5,5-트리메틸헥사날 페닐 이소티오시아네이트, 및 헥실 이소티오시아네이트로 구성된 그룹으로부터 선택되는, 기판 프로세싱 방법.
  8. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는,
    SiR3R4R5R6 또는
    Figure pct00013
    를 포함하고,
    Z는 -S-, -O-, 또는 -NR7-일 수 있고;
    R3, R4, R5, R6, 및 R7 각각은 독립적으로 H, 할로, 또는 유기 모이어티이거나 이를 포함하고;
    고리 a는 선택 가능하게 치환될 수 있고; 그리고
    n은 1 내지 5의 정수인, 기판 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체는 실란, 옥시실란, 고리형 아자실란, 또는 고리형 티오실란을 포함하는, 기판 프로세싱 방법.
  10. 제 1 항에 있어서,
    상기 기능화되지 않은 표면을 에칭하기 위한 상기 프로세스에 상기 기판을 노출하는 단계 후에 상기 기판을 상기 탄소-함유 자기-조립된 단층 전구체에 노출하는 단계를 반복하는 단계를 더 포함하는, 기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 기능화되지 않은 표면을 에칭하기 위한 상기 프로세스에 상기 기판을 노출하는 단계는 원자 층 에칭 및/또는 연속적인 에칭을 수행하는 단계를 포함하는, 기판 프로세싱 방법.
  12. 제 1 항에 있어서,
    상기 기능화되지 않은 표면을 에칭하기 위한 상기 프로세스에 상기 기판을 노출하는 단계는,
    반응성 층을 형성하기 위해 플루오로카본 플라즈마로부터 생성된 플라즈마 반응성 종에 상기 기판을 노출시키는 단계; 및
    상기 반응성 층을 제거하기 위해 상기 기판을 활성화 플라즈마에 노출시키는 단계를 포함하는, 기판 프로세싱 방법.
  13. 제 12 항에 있어서,
    상기 기판을 상기 플라즈마 반응성 종에 노출하는 단계 및 상기 기판을 상기 활성화 플라즈마에 노출하는 단계를 사이클들로 반복하는 단계를 더 포함하는, 기판 프로세싱 방법.
  14. 제 12 항에 있어서,
    상기 플루오로카본 플라즈마는 C4F6, C4F8, 퍼플루오로카본, 플루오로하이드로카본, 및 이들의 조합들로 구성된 그룹으로부터 선택된 플루오로카본으로부터 생성되고; 그리고/또는 상기 활성화 플라즈마는 아르곤, 헬륨, 또는 불활성 가스를 포함하는, 기판 프로세싱 방법.
  15. 제 12 항에 있어서,
    상기 기판을 상기 플라즈마 반응성 종에 노출하는 단계 및 상기 기판을 상기 활성화 플라즈마에 노출하는 단계는 시간적으로 분리된 교번하는 펄스들로 수행되는, 기판 프로세싱 방법.
  16. 제 1 항에 있어서,
    상기 기판을 상기 탄소-함유 자기-조립된 단층 전구체에 노출하는 단계는 약 -40 ℃ 내지 약 550 ℃의 웨이퍼 온도에서 수행되고; 그리고/또는 약 0.1 ML 내지 약 500 ML의 도즈로 수행되는, 기판 프로세싱 방법.
  17. 제 1 항에 있어서,
    상기 탄소-함유 자기-조립된 단층 전구체에 상기 기판을 노출하는 단계 및 상기 기판을 상기 프로세스에 노출하는 단계는 진공을 파괴하지 않고 수행되는, 기판 프로세싱 방법.
  18. 제 17 항에 있어서,
    상기 프로세스는 플루오로카본 플라즈마를 도입하는 단계를 포함하는, 기판 프로세싱 방법.
  19. 제 1 항에 있어서,
    상기 기판을 상기 탄소-함유 자기-조립된 단층 전구체에 노출하기 전에, 상기 기판을 플라즈마 분위기에서 처리 가스에 노출하는 단계를 더 포함하는, 기판 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 처리 가스는 아르곤, 질소, 수소, 헬륨, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 프로세싱 방법.
  21. 제 19 항에 있어서,
    상기 플라즈마 분위기의 상기 처리 가스에 상기 기판을 노출하는 단계는 RF 바이어스를 인가하는 단계를 포함하는, 기판 프로세싱 방법.
  22. 제 1 항에 있어서,
    상기 보호된 표면은 아르곤 플라즈마에 노출될 때 상기 기능화되지 않은 표면의 활성화를 방지하는, 기판 프로세싱 방법.
  23. 제 1 항에 있어서,
    상기 실리콘-및-질소-함유 표면은 실리콘 옥시나이트라이드, 실리콘 카보나이트라이드, 수소-종단된 실리콘 나이트라이드, 이들의 도펀트 버전들, 및 이들의 조합들로 구성된 그룹으로부터 선택된 재료를 포함하는, 기판 프로세싱 방법.
  24. 제 1 항에 있어서,
    상기 실리콘-및-질소-함유 표면은,
    -NHx 기들을 포함하는, 기판 프로세싱 방법.
  25. 제 1 항에 있어서,
    상기 실리콘-및-산소-함유 표면은 로우-k (low-k) 유전체를 포함하는, 기판 프로세싱 방법.
  26. 제 1 항에 있어서,
    상기 실리콘-및-질소-함유 표면은 제 1 측벽 표면을 포함하고, 상기 실리콘-및-산소-함유 표면은 제 2 측벽 표면을 포함하고, 그리고 상기 보호된 표면은 보호된 측벽 표면을 포함하고; 그리고 상기 에칭하기 위한 프로세스는 상기 제 1 측벽 표면 및 상기 제 2 측벽 표면을 포함하는 피처를 통한 수직 에칭을 포함하는, 기판 프로세싱 방법.
  27. 제 26 항에 있어서,
    상기 피처는 적어도 약 20:1의 종횡비를 갖는, 기판 프로세싱 방법.
KR1020227016725A 2019-10-18 2020-10-15 SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착 KR20220084153A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962923188P 2019-10-18 2019-10-18
US62/923,188 2019-10-18
PCT/US2020/055767 WO2021076746A1 (en) 2019-10-18 2020-10-15 Selective attachment to enhance sio2:sinx etch selectivity

Publications (1)

Publication Number Publication Date
KR20220084153A true KR20220084153A (ko) 2022-06-21

Family

ID=75538318

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227016725A KR20220084153A (ko) 2019-10-18 2020-10-15 SIO2:SINx 에칭 선택도를 향상시키기 위한 선택적 부착

Country Status (4)

Country Link
US (1) US20220362803A1 (ko)
KR (1) KR20220084153A (ko)
TW (1) TW202129759A (ko)
WO (1) WO2021076746A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023177594A1 (en) * 2022-03-14 2023-09-21 Lam Research Corporation Sidewall passivation using aldehyde or isocyanate chemistry for high aspect ratio etch
CN117000552B (zh) * 2023-09-27 2023-12-26 爱德曼氢能源装备有限公司 燃料电池双极板涂层装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
JP5490071B2 (ja) * 2011-09-12 2014-05-14 株式会社東芝 エッチング方法
US9875907B2 (en) * 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces

Also Published As

Publication number Publication date
US20220362803A1 (en) 2022-11-17
TW202129759A (zh) 2021-08-01
WO2021076746A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
US11637037B2 (en) Method to create air gaps
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
KR102439785B1 (ko) 무-할로겐 기상 실리콘 에칭
US9396961B2 (en) Integrated etch/clean for dielectric etch applications
US11742212B2 (en) Directional deposition in etch chamber
KR102444697B1 (ko) Tsv/mems/전력 장치 식각용 화학품
TW201801175A (zh) 原子層蝕刻三維結構:水平與垂直表面上之Si、SiGe及Ge平坦度
TW201719719A (zh) 用於原位清洗銅表面以及沉積與移除自組裝單層的方法與設備
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
US20220362803A1 (en) SELECTIVE ATTACHMENT TO ENHANCE SiO2:SiNx ETCH SELECTIVITY
US20230360922A1 (en) Robust ashable hard mask
TWI774754B (zh) 自對準觸點與閘極處理流程
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
WO2023069120A1 (en) In situ declogging in plasma etching
TW202418388A (zh) 電漿蝕刻中的原位去阻塞
WO2024006088A1 (en) Integrated high aspect ratio etching
WO2023239617A1 (en) In situ declogging in plasma etching