KR102504770B1 - Ale 및 선택적인 증착을 사용하여 기판들 에칭 - Google Patents

Ale 및 선택적인 증착을 사용하여 기판들 에칭 Download PDF

Info

Publication number
KR102504770B1
KR102504770B1 KR1020170052754A KR20170052754A KR102504770B1 KR 102504770 B1 KR102504770 B1 KR 102504770B1 KR 1020170052754 A KR1020170052754 A KR 1020170052754A KR 20170052754 A KR20170052754 A KR 20170052754A KR 102504770 B1 KR102504770 B1 KR 102504770B1
Authority
KR
South Korea
Prior art keywords
carbon
plasma
substrate
containing material
delete delete
Prior art date
Application number
KR1020170052754A
Other languages
English (en)
Other versions
KR20170124087A (ko
Inventor
사만다 탄
젱이 유
리처드 와이즈
네이더 샴마
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170124087A publication Critical patent/KR20170124087A/ko
Priority to KR1020230024368A priority Critical patent/KR20230030615A/ko
Application granted granted Critical
Publication of KR102504770B1 publication Critical patent/KR102504770B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

ALE (atomic layer etching) 및 선택적인 증착을 사용하여 탄소 함유 재료를 갖는 기판들을 프로세싱하기 위한 방법들 및 장치들이 제공된다. 방법들은 기판 상의 탄소 함유 재료를 산화제에 노출하는 단계 및 기판의 표면을 개질하도록 제 1 바이어스 전력에서 제 1 플라즈마를 점화하는 단계 및 개질된 표면을 제거하도록 개질된 표면을 제 2 바이어스 전력에서 불활성 플라즈마에 노출하는 단계를 수반한다. 방법들은 또한 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계를 수반한다. ALE 및 선택적인 증착이 진공을 파괴하지 않고 수행될 수도 있다.

Description

ALE 및 선택적인 증착을 사용하여 기판들 에칭{ETCHING SUBSTRATES USING ALE AND SELECTIVE DEPOSITION}
패터닝 방법들은 반도체 프로세싱에 중요하다. 특히, EUV (extreme ultraviolet) 리소그래피는 소형 CD (critical dimension) 피처들을 패터닝하기 위해 이의 광학적 한계들을 넘어 리소그래피 기술을 확장하고 현재 포토리소그래피 방법들을 대체하기 위해 탐구되었다. 현재 EUV 리소그래피 방법들은 불량한 에지 거칠기 및 약한 패턴들을 발생시키고 이는 결국 기판을 쓸모 없게 할 수도 있다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판들을 프로세싱하는 방법으로서, (a) 제 1 탄소 함유 재료를 포함하는 기판을 산화제에 노출시키고 제 1 탄소 함유 재료의 표면을 개질하도록 제 1 바이어스 전력으로 제 1 플라즈마를 점화하는 단계; 및 (b) 제 2 바이어스 전력의 제 2 플라즈마에 그리고 스퍼터링하지 않고 개질된 표면을 제거하기 충분한 지속기간 동안 개질된 층을 노출하는 단계를 포함하는 방법을 수반한다. 다양한 실시예들에서, 방법은 또한 (c) 제 1 탄소 함유 재료 상의 틈들을 충진하도록 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계를 포함한다. 다양한 실시예들에서, 방법은 또한 단계 (a) 내지 단계 (c) 를 사이클들로 반복하는 단계를 포함한다. 다양한 실시예들에서, 제 2 바이어스 전력은 약 30 V 내지 약 100 V일 수도 있다.
일부 실시예들에서, 산화제는 강 산화제이다. 예를 들어, 강 산화제는 산소일 수도 있다. 다양한 실시예들에서, 제 1 플라즈마는 약 15 W 내지 약 500 W의 플라즈마 전력을 사용하여 생성된다. 제 1 바이어스 전력은 약 5 V 내지 50 V일 수도 있다.
일부 실시예들에서, 산화제는 약 산화제이다. 예를 들어, 약 산화제는 이산화탄소, 일산화탄소, 이산화 황, 산화 질소, 질소, 및 암모니아 중 임의의 하나 이상일 수도 있다. 일부 실시예들에서, 제 1 플라즈마는 약 30 W 내지 약 500 W의 플라즈마 전력을 사용하여 생성된다. 제 1 바이어스 전력은 약 30 V 내지 약 100 V일 수도 있다.
다양한 실시예들에서, 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 약 5 V 내지 약 15 V의 전력의 셀프-바이어스를 인가하는 단계 및 약 30 W 내지 약 500 W의 플라즈마 전력을 사용하여 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 메탄을 도입하는 단계를 또한 포함한다. 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 질소, 헬륨, 아르곤, 수소, 및 이들의 조합으로 구성된 그룹으로부터 선택된 희석제를 도입하는 단계를 또한 포함할 수도 있다.
다양한 실시예들에서, 제 1 탄소 함유 재료는 포토레지스트, 비정질 탄소, 및 그라핀 중 임의의 하나 이상이다. 일부 실시예들에서, 제 1 탄소 함유 재료는 EUV (extreme ultraviolet) 리소그래피에 의해 패터닝된 포토레지스트이다.
일부 실시예들에서, 단계 (c) 는 제 1 탄소 함유 재료의 표면에 메탄 층이 흡착하도록 기판을 메탄에 노출하는 단계 및 기판을 제 3 플라즈마에 노출하는 단계를 포함한다.
제 3 플라즈마는 헬륨, 수소, 질소, 아르곤, 및 네온 중 임의의 하나 이상과 같은 불활성 가스를 도입하고 플라즈마를 점화함으로써 생성될 수도 있다.
다양한 실시예들에서, 제 1 탄소 함유 재료를 포함하는 기판을 산화제에 노출하는 단계는 헬륨, 아르곤, 네온, 크립톤, 및 제논 중 임의의 하나 이상과 같은 희석된 불활성 가스에 기판을 노출하는 단계를 또한 포함한다.
단계 (b) 의 제 2 플라즈마는 수소, 헬륨, 질소, 아르곤, 및 네온 중 하나 이상과 같은 불활성 가스를 도입하고 플라즈마를 점화함으로써 생성될 수도 있다.
다양한 실시예들에서, 방법은 또한 챔버로부터 과잉 산화제를 제거하도록 단계 (a) 를 수행하는 것과 단계 (b) 를 수행하는 것 사이에 기판을 하우징하는 챔버를 퍼지하는 단계를 또한 포함한다.
일부 실시예들에서, 방법은 단계 (a) 및 단계 (b) 를 사이클들로 반복하는 단계를 또한 포함한다.
기판은 약 0 ℃ 내지 약 120 ℃의 온도로 설정된 페데스탈 상에 놓일 수도 있다.
또 다른 양태는 기판을 프로세싱하기 위한 장치를 수반하고, 장치는, 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 척을 포함하는, 하나 이상의 프로세스 챔버들; 프로세스 챔버들 내로의 하나 이상의 가스 유입부들 및 연관된 플로우-제어 하드웨어; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기로서, 적어도 하나의 프로세서 및 메모리는 서로 통신하게 연결되고, 적어도 하나의 프로세서는 플로우-제어 하드웨어와 적어도 동작하게 연결되고, 그리고 메모리는: (i) 프로세스 챔버로 산화제를 도입하고 제 1 바이어스 전력으로 제 1 플라즈마를 점화하고; 그리고 (ii) 제 1 불활성 가스를 도입하고 제 2 바이어스 전력으로 제 2 플라즈마를 점화함으로써 플로우-제어 하드웨어를 적어도 제어하도록 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하고, (i) 및 (ii) 는 진공을 파괴하지 않고 수행된다.
다양한 실시예들에서, 메모리는 (iii) 하나 이상의 프로세스 챔버들 내에 하우징된 기판의 표면에 탄소 함유 전구체의 흡착된 층을 형성하도록 프로세스 챔버로 탄소 함유 전구체를 도입하고; 그리고 (iv) 제 2 불활성 가스를 도입하고 제 3 플라즈마를 점화하기 위한 인스트럭션들을 또한 포함한다.
다양한 실시예들에서, 인스트럭션들은 (iii) 에서 탄소 함유 전구체를 도입할 때 약 5 V 내지 약 15 V의 전력의 셀프-바이어스를 턴온하기 위한 인스트럭션들을 더 포함한다.
다양한 실시예들에서, 인스트럭션들은 질소, 헬륨, 아르곤, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택된 희석제를 도입하기 위한 인스트럭션들을 더 포함한다.
다양한 실시예들에서, 산화제는 산소이다. 제 1 바이어스 전력은 약 5 V 내지 약 50 V일 수도 있다. 다양한 실시예들에서, 제 1 플라즈마는 약 15 W 내지 500 W의 플라즈마 전력으로 설정된다.
다양한 실시예들에서, 산화제는 이산화탄소, 일산화탄소, 이산화 황, 산화 질소, 질소, 및 암모니아 중 임의의 하나 이상이다. 일부 실시예들에서, 제 1 바이어스 전력은 약 30 V 내지 약 100 V이다. 다양한 실시예들에서, 제 1 플라즈마는 약 30 W 내지 500 W의 플라즈마 전력으로 설정된다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 기판 상의 막을 ALE (atomic layer etching) 의 예의 개략적인 예시이다.
도 2는 돌출부를 갖는 레지스트 상에서 ALE를 수행하는 예의 개략적인 예시이다.
도 3은 ALE 동안 제거 동작의 예의 개략적인 예시이다.
도 4는 특정한 개시된 실시예들에 따라 사용될 수도 있는 선택적인 증착 사이클의 개략적인 예시이다.
도 5는 개시된 실시예들에 따라 수행된 동작들의 프로세스 흐름도이다.
도 6은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 7은 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 개략도이다.
도 8a는 실험에 사용된 기판의 이미지이다.
도 8b는 실험으로부터 기판의 이미지이다.
도 8c 내지 도 8e는 특정한 개시된 실시예들에 따라 수행된 실험들로부터 발생되는 기판들의 이미지들이다.
도 9a 내지 도 9c는 기판들의 다양한 도면들이다.
도 10a 내지 도 10c 및 도 11a 및 도 11c는 특정한 개시된 실시예들에 따라 수행된 실험으로부터의 기판의 다양한 도면들이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 프로세싱시 박막들의 패터닝이 반도체 디바이스들의 제작 및 제조에 사용된다. 종래의 패터닝은 포토리소그래피 예컨대 193 ㎚ 리소그래피를 수반한다. 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 감광성 포토레지스트 상으로 패턴을 프린팅하여, 패턴을 형성하도록 포토레지스트의 특정한 부분들을 제거하는 포토레지스트의 화학 반응을 유발함으로써 프린팅된다. 디바이스들이 축소됨에 따라, 보다 작은 피처들을 프린팅하기 위한 요구가 증가한다. 종래의 포토리소그래피에 사용하기 위한 복수의 패터닝 기법들이 개발되었지만, 복수의 패터닝은 복수의 층들의 증착 및 에칭 프로세스들을 사용한다. 발전된 반도체 IC들 (integrated circuits) 및 다른 디바이스들 상의 피처들의 스케일링은 훨씬 보다 작은 이미징 소스 파장들로 이동함으로써 해상도를 개선하도록 리소그래피를 구동하였다.
EUV (extreme ultraviolet) 리소그래피는 또한 스캐너들로 지칭되는 리딩-에지 (leading-edge) 리소그래피 툴들에서 대략 13.5 ㎚ 파장의 EUV 광 소스들을 사용하여 포토레지스트 상에 보다 작은 패턴들을 프린팅하도록 개발되었다. 차세대 EUV는 45 ㎚ 기술 노드 제작을 지원할 것으로 2006년에 처음으로 예상되었지만, 이러한 개발들은 몇몇 생산성 문제들로 인해 오랫동안 지연되었다. EUV 생산성의 일 도전은 13.5 ㎚ 광자들을 생성하고 포커싱하는 본질적인 어려움으로 인해 패터닝을 수행하기 충분한 전력을 생성하였다. 시스템 쓰루풋, 따라서 전체 비용 및 생산성은 포토레지스트를 이미징하기 위해 요구된 광자들에 대한 웨이퍼에 전달된 광자들의 비에 의해 결정된다. 소스를 개질을 목표로 방법들이 지난 10년 동안 개발되었지만, 방법들은 EUV 기법들의 효과적인 사용을 허용하기 위해 45 ㎚ 기술 노드에 대한 250 W의 소스 전력을 아직 달성하지 못하였다. EUV를 수행하도록 사용된 전력 소스는 5 ㎚ 기술 노드에서 EUV를 수행하기 위해, 500 W 내지 1000 W의 소스 전력은 기존의 패터닝 기술들과 경합하게 사용된 산탄 잡음 (shot noise) 및 레지스트 블러 (resist blur) 로 인해 디바이스들이 축소됨에 따라 증가한다.
불충분한 소스 전력은 패턴 신뢰도, 패터닝된 이미지들의 에지 거칠기 뿐만 아니라, 특히 비아 이미징을 위해 규정된 CD (critical dimension) 양자의 손실을 발생시킨다. 이는 다른 이유들에 더하여, 비아 각각을 이미징하는데 가용한 적은 수의 광자들, 피처 각각에서 광자들의 수의 확률론적 변동들 및 홀 사이즈 (또한 국부적인 CD 균일도 또는 본 명세서에서 "LCDU (local critical dimension uniformity)" 로 지칭됨) 와 에지 거칠기 (또한 라인 에지 거칠기 또는 본 명세서에서 "LER (line edge roughness)" 로 지칭됨) 의 랜덤 변동들을 발생시키는 광산 (photoacid) 을 생성하는 광자 각각의 효율로 인한 것이다.
소형 CD 디바이스들에 대해 포토레지스트들을 패터닝하기 위한 현재 기법들은 포토레지스트를 경화 (harden), 평활화 (smooth) 및 포토레지스트로부터 잔여물을 제거하기 위한 "RIE" (reactive ion etching) 프로세스를 포함한다. 그러나, 현재 RIE 프로세스들은 LER 또는 LCDU를 처리할 수 없다. 예를 들어, RIE에 의해 프로세싱된 포토레지스트들은 피처들과 피처들의 하단부 상의 레지스트 스컴 (scum) 사이에 소형 스트링거들 (stringers) 을 여전히 포함할 수도 있다.
포토리소그래피 후에 이미징된 피처들에서 균일하게 에칭되고 평활한 에지들을 생성하도록 기판들 예컨대 포토레지스트들을 에칭하는 방법들이 본 명세서에 제공된다. 이러한 기법들은 본 명세서에 기술된 바와 같이 LER 및 LCDU 양자를 개선한다. 개시된 실시예들은 EUV 애플리케이션들을 수행하도록 고 소스 전력을 사용할 필요성을 감소시켜, EUV 스캐너 생산성을 개선한다. 개시된 실시예들은 소스/드레인 영역에 대한 콘택트들, 3-D 콘택트 홀들, 등과 같은 구조체들을 형성하기 위해 기판들을 에칭하기에 적합하다.
방법들은 탄소 함유 재료와 같은 재료를 부드럽게 에칭하고 평활화하기 위해 ALE 및 선택적인 증착을 수반한다. 개시된 실시예들을 사용하여 에칭될 수도 있는 예시적인 탄소 함유 재료는 포토레지스트들 (예컨대 EUV 또는 액침 (immersion) 에 사용됨) 및 비정질 탄소를 포함한다.
ALE는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 예시적인 ALE 및 에칭 기법들을 기술할 목적으로 본 명세서에 참조로서 인용된, 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호, 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호, 및 2017년 2월 21일 허여된 미국 특허 제 9,576,811 호에 기술된다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고 또는 열적으로 수행될 수도 있다.
ALE는 사이클들로 수행될 수도 있다. "ALE 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의에 관한 것이다. 일반적으로 ALE 사이클은 에칭 프로세스를 1번 수행하기 위해, 예컨대 모노레이어를 에칭하는데 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 막층의 적어도 일부가 에칭되는 것이다. 통상적으로, ALE 사이클은 반응성 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 사이클은 특정한 보조 동작들 예컨대 반응물질들 또는 부산물들 중 하나를 스윕핑하는 것을 포함할 수도 있다. 일반적으로, 사이클은 고유한 동작들의 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음의 동작들: (i) 반응 물질 가스의 전달 (흡착), (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달 (탈착), 및 (iv) 챔버의 퍼지를 포함할 수도 있다.
도 1은 ALE 사이클의 개략적인 예시들 및 선택적인 폴리머 증착의 개략적인 예시의 2가지 예를 도시한다. 도표들 171a 내지 171e는 예시적인 ALE 사이클을 도시한다. 171a에서, 기판이 제공된다.
다양한 실시예들에서, 기판은, 재료, 예컨대 유전체, 도전성 또는 반도전성 재료가 증착된 하나 이상의 층들을 갖는 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일부 실시예들에서, 기판은 실리콘 예컨대 비정질 실리콘의 블랭킷 층, 또는 게르마늄의 블랭킷 층을 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크 층을 포함할 수도 있다. 예를 들어, 마스크 층은 블랭킷 비정질 실리콘 층을 포함하는 기판 상에 증착되고 패터닝될 수도 있다. 일부 실시예들에서, 기판 표면은 포토레지스트, 또는 그라핀, 또는 비정질 탄소를 포함한다.
일부 실시예들에서, 기판 상의 층들이 패터닝될 수도 있다. 기판들은 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있고, 이들은 하나 이상의 좁은 그리고/또는 재차들어간 개구부들, 피처 내 협착부들 (constrictions), 및 고 종횡비들을 특징으로 할 수도 있다. 피처는 상기 기술된 층들 중 하나 이상에 형성될 수도 있다. 피처의 일예는 반도체 기판 또는 기판 상의 층의 홀 또는 비아이다. 또 다른 예는 기판 또는 층의 라인 또는 공간에 의해 규정된 트렌치이다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부 층을 가질 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예를 들어, 산화 실리콘들, 질화 실리콘들, 탄화 실리콘들, 산화 금속들, 질화 금속들, 탄화 금속들 및 금속 층들을 포함한다. 일부 실시예들에서, 기판의 표면은, 기판이 패터닝된다면, 2 이상의 타입의 재료를 포함할 수도 있다. 기판은 개시된 실시예들을 사용하여 에칭되고 평활화될 적어도 일 재료를 포함한다. 이 재료는 상기 기술된 재료들 -금속들, 유전체들, 반도체 재료들, 등- 중 임의의 재료일 수도 있다. 다양한 실시예들에서, 이들 재료들은 콘택트들, 비아들, 게이트들, 등을 제조하기 위해 준비될 수도 있다. 일부 실시예들에서, 에칭될 재료는 하드 마스크 재료, 예컨대 비정질 탄소이다. 추가의 예시적인 재료들은 질화 알루미늄 갈륨, 실리콘, 질화 갈륨, 텅스텐, 및 코발트를 포함한다.
171b에서, 기판의 표면이 개질된다. 171c에서, 과잉 흡착되지 않은 전구체를 제거하기 위한 퍼지 동작 후에 개질된 층이 남는다. 171d에서, 개질된 층은 에칭된다. 171e에서, 개질된 층은 제거된다.
유사하게, 도표들 172a 내지 172e는 탄소 함유 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. 172a에서, 탄소 함유 재료를 포함하는 기판이 제공되고, 이는 많은 탄소 원자들을 포함한다. 다양한 실시예들에서, 기판은 탄소 함유 층 예컨대 포토레지스트 또는 비정질 탄소 층을 포함한다.
172b에서, 기판의 표면을 개질하는 산화제가 기판으로 도입된다. 산화제는 산소 (O2) 와 같은 강 산화제이거나 이산화탄소 (CO2) 와 같은 약 산화제일 수도 있다. 산화제들의 선택은 기판 상의 탄소 함유 재료의 타입에 따를 수도 있다. 예를 들어, 일부 실시예들에서, 강 산화제는 비정질 탄소 또는 그라핀과 같은 단단한 탄소 함유 재료를 에칭하기 적합한 산화제일 수도 있다. 다른 예에서, 일부 실시예들에서, 약 산화제는 EUV (extreme ultraviolet) 리소그래피 또는 액침 리소그래피에 의해 패터닝된 포토레지스트들을 에칭하기 적합한 산화제일 수도 있다.
172b의 개략도는 예로서 일부 산화제가 기판의 표면 상에 흡착되는 것을 도시한다. 개질 동작은 후속하는 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는 두께를 갖는 박형의, 반응성 표면 층을 형성한다. 탄소 함유 재료를 에칭하기 위해, 개질 또는 흡착 동작 동안 산소 함유 플라즈마가 사용될 수도 있다. 산소 함유 플라즈마는 산소 함유 개질 화학물질 예컨대 산소 (O2) 와 같은 또는 약 산화제 예컨대 이산화탄소 (CO2) 를 흘리고 플라즈마를 점화함으로써 생성될 수도 있다. 부가적인 약 산화제들은 일산화탄소 (CO), 산화 질소 (NO), 및 이산화 황 (SO2) 을 포함한다. 부가적인 반응물질들은 질소, 수소, 및 암모니아 화합물들 및 레지스트 표면에 반응하게 결합하고 스퍼터링 문턱값 미만 (sub-sputter threshold) 이온 충돌을 사용하여 후속하여 휘발될 수 있는 종을 포함할 수도 있다. 이들 강 산화제 및 약 산화제는 자체로만 또는 헬륨 (He), 아르곤 (Ar), 네온 (Ne), 크립톤 (Kr), 제논 (Xe) 과 같은 희석된 불활성 가스들, 및 이들의 조합들을 포함하는 조합으로 사용될 수도 있다. 이 동작은 벌크 탄소 함유 재료보다 약한 결합 에너지들을 갖는 개질된 층을 형성하도록 탄소 함유 재료 표면의 수 옹스트롬들을 개질한다. 다양한 실시예들에서, 약 산화제는 바이어를 갖지 않거나 저 바이어스를 갖는 플라즈마로서 기판에 제공된다. 예를 들어, 다양한 실시예들에서, 약 산화제가 플라즈마 프로세싱 챔버로 도입되고 플라즈마 소스 전력은 약 산화제의 탄소 함유 재료의 표면 상으로 흡착을 용이하게 하기 위해 플라즈마를 점화하도록 턴온된다. 바이어스가 약 5 V 내지 약 15 V 또는 최대 약 50 V의 셀프-바이어스와 같은 저 전력 또는 전압으로 인가될 수도 있다. 플라즈마 전력은 약 15 W 내지 약 300 W의 전력으로 설정될 수도 있다. 용어들 "바이어스 전력" 및 "바이어스 전압"은 바이어스가 페데스탈에 인가될 때 페데스탈이 세팅되는 전압을 기술하기 위해 보노 명세서에서 상호교환가능하게 사용된다는 것이 이해될 것이다. 본 명세서에 기술된 바와 같은 바이어스 전력 또는 바이어스 전압은 볼트로 측정되고, 단위 "V" 또는 "Vb"로 나타내고, 여기서 b는 바이어스를 지칭한다.
172c에서, 약 산화제가 챔버로부터 퍼지된다. 172d에서, Ar+ 플라즈마 종 및 화살표들로 나타낸 바와 같이 제거 가스 아르곤이 지향성 플라즈마를 사용하여 도입되고, 기판의 개질된 탄소 표면을 제거하도록 이온 충돌이 수행된다. 이 동작 동안, 기판을 향해 이온들을 끌어 당기도록 바이어스가 기판에 인가된다. 탈착 동작에서, 불활성 가스 (예컨대 He, Ar, Xe, 또는 N2) 플라즈마는 개질된 층을 제거하도록 사용될 수도 있다. 172d에서 아르곤이 도시되지만, 임의의 적합한 불활성 가스가 이동작을 위한 플라즈마를 생성하도록 사용될 수도 있다는 것이 이해될 것이다. 제거 동안 인가된 바이어스 전력은 다양한 실시예들에서 약 30 V 내지 약 100 V일 수도 있다. 바이어스 전력은 기판에 제공된 에너지가 기판을 스퍼터링하기 위해 요구된 에너지보다 작지만 기판으로부터 개질된 층을 제거하기 위해 사용된 에너지보다 크도록, 선택될 수도 있다. 플라즈마 전력은 약 30 W 내지 약 500 W의 전력으로 설정될 수도 있다.
172e에서, 챔버는 퍼지되고 부산물들이 제거된다. 다양한 실시예들에서, 약 1 Å 내지 약 130 Å의 재료가 일 사이클에서 제거될 수도 있다. 강 산화제가 사용되면, 에칭 레이트는 약 산화제가 사용될 때보다 클 수도 있다. 예를 들어, 산소 (O2) 와 같은 강 산화제에 대해, 불활성 플라즈마 가스가 Ar일 수도 있고, 약 10 Å 내지 약 30 Å의 레지스트 재료가 제거될 수도 있다. 일부 실시예들에서, 사용된 약 산화제가 이산화탄소이고 개질된 층을 제거하기 위해 사용된 불활성 가스 플라즈마가 헬륨이면, 사이클 각각은 약 2 Å 내지 3 Å의 재료를 에칭할 수도 있다. 탄소 함유 재료의 에칭 후 표면은 ALE 프로세스 후 통상적으로 평활하다. 예를 들어, 일부 실시예들에서, ALE 프로세스 후 표면의 RMS (root mean square) 거칠기는 약 0.5 ㎚ 미만일 수도 있다 (Rrms < 0.5 ㎚).
도 2는 이 동작이 포토레지스트 상의 돌출부들의 존재를 감소시킬 수 있는 방법을 도시한다. 포토레지스트 상의 돌출부들의 사이즈는 직경 및/또는 높이에서 약 1 Å 내지 약 30 Å일 수도 있다. 레지스트 재료 및 돌출부 (299) 를 갖는 예시적인 기판 (200) 이 제공된다. 약 산화제 (201) 가 제공되고 기판 (200) 상에 흡착되어, 개질된 표면 (202) 을 형성하도록 기판 (200) 의 표면을 개질한다. 이어서 개질된 표면 (202) 은 제거되고; 점선 (203) 은 이전에 탄소 함유 재료가 기판 (200) 상에 있었던 것을 도시하고 이제 기판 (210) 을 산출한다. 이 프로세스 (250) 는 일 ALE 산화 사이클을 구성할 수도 있다. 프로세스 (260) 는 돌출부 (298) 를 갖는 기판 (220) 을 도시하고, 이는 약 산화제 (221) 에 노출된다. 약 산화제 (221) 는 기판 (220) 상에 흡착되어 개질된 표면 (222) 을 형성하도록 기판 (220) 의 표면을 개질한다. 약 산화제 (231) 가 개질된 층 (미도시) 을 형성하도록 기판 (230) 상에 흡착하고 개질된 층은 또한 이전에 탄소 함유 재료가 기판 (230) 상에 있던 것을 도시하는 점선 (275) 을 포함하는 기판 (270) 을 산출하도록 제거된다.
특정한 이론에 매이지 않고, 돌출부들이 보다 큰 표면 대 체적 비를 갖기 때문에 탄소 함유 재료가 돌출부의 표면 상에 흡착하고 돌출부의 모노레이어 또는 2 층이 제거될 때, 돌출부의 사이즈가 표면의 인접한 상대적으로 편평한 부분으로부터의 재료 제거에 비해 상당히 감소되도록, 돌출부들의 스케일은 원자 레벨이라고 믿어진다. 이는 보다 많은 탄소 함유 재료가 돌출부에 의해 제공된 보다 큰 표면적에 흡착되는 것에 기인할 수도 있다.
도 3은 제거 동작이 에칭될 재료의 평활화를 개선할 수 있는 방법을 도시한다. 기판의 표면 상의 탄소 원자들에 흡착된 약 산화제의 개질된 표면을 제거하기에 충분한 에너지를 갖지만 기판의 표면으로부터 아래에 놓인 개질되지 않은 탄소 원자들을 스퍼터링하기 충분한 에너지를 갖지 않도록 저 바이어스를 갖는 불활성 플라즈마 종이 172d에서 사용된다. 다양한 실시예들에서, 바이어스는 약 30 V 내지 약 100 V, 또는 약 50 V 미만일 수도 있다. 일부 실시예들에서, 개질된 층은 약 0.5 ㎚ 두께일 수도 있고, 이는 약 3 내지 4 개의 원자 층들을 포함할 수도 있다. 일부 실시예들에서, 도 3에 도시된 바와 같이 개질된 층과 비정질 재료 사이에 상 경계 (phase boundary) 가 있을 수도 있다. 불활성 플라즈마 종, 예컨대 도 3에 도시된 Ar+는 문턱값 미만 (sub-threshold), 비반응성 이온 종일 수도 있고, 문턱값 미만은 불활성 플라즈마 종의 에너지가 개질된 층 아래에 놓인 재료를 스퍼터링하기 불충분하지만, 개질된 층을 제거하기에 충분히 높다는 것을 의미한다. 문턱값 바이어스 전력 또는 문턱값 바이어스 전압은 페데스탈 상의 기판의 표면 상의 재료가 스퍼터링되기 전 페데스탈에 인가된 바이어스의 최대 전압을 지칭한다. 따라서 문턱값 바이어스 전력은 에칭될 재료, 플라즈마를 생성하도록 사용된 가스, 플라즈마를 점화하기 위한 플라즈마 전력 및 플라즈마 주파수에 부분적으로 의존한다. 사이클 각각 후에, 표면은 표면 상에 많은 개질된 재료 또는 어떠한 개질된 재료도 없이 제거될 재료를 포함하도록 "리셋 (reset)"될 수도 있다.
ALE 기법들을 사용하여 기판들을 평활화하는 것에 관한 추가 기술은, 전체가 참조로서 본 명세서에 인용된, 2015년 9월 4일 출원된 명칭이 "ALE SMOOTHNESS: IN AND OUTSIDE SEMICONDUCTOR INDUSTRY"인 미국 특허 가출원 번호 제 62/214,813 호, 및 2016년 8월 31일 출원되고 명칭이 "ALE SMOOTHNESS: IN AND OUTSIDE SEMICONDUCTOR INDUSTRY"인 미국 특허 출원 공개번호 제 2017/0069462 호에 기술된다. 특정한 이론에 매이지 않고, ALE가 재료를 에칭하여 사이클 각각 동안 기판의 표면 상의 돌출부들을 에칭하고 평활화하는 층-단위 (layer-by-layer) 메커니즘으로 인해 기판들이 개시된 실시예들에 의해 평활화될 수도 있다고 믿어진다. 예를 들어, 평활화될 재료의 표면 상의 돌출부는 돌출부가 에칭되도록 돌출부들의 표면들 상에서 개질되고 에칭될 수도 있고, 돌출부의 사이즈는 에칭 사이클 각각에서 축소되어, 재료의 표면을 평활화한다.
ALE 프로세스들이 측벽 또는 라인 에지 거칠기를 평활화할 수 있지만, CD 변동, 예를 들어 라인 폭 또는 홀/필라 직경들을 변화시킬 수 없다. 이를 위해, 포토레지스트 구조체들 상에 선택적으로 증착하고 상이한 사이즈들의 피처들 내로 상이한 증착 레이트들로 탄소 함유 재료들을 사용하여 피처들을 우선적으로 충진하도록 선택적인 탄소 함유 재료 증착 프로세스가 사용된다. 다양한 실시예들에서, 홀들 또는 필라들의 직경들은 기판에 걸쳐 균일하고 LCDU가 개선된다. 예를 들어, 메탄 (CH4) 이 일부 실시예들에서 사용될 수도 있다.
도 1을 다시 참조하면, 182a 내지 182c는 특정한 개시된 실시예들에 따라 수행될 수도 있는 선택적인 증착 프로세스들의 예시적인 개략적인 예시를 도시한다. 선택적인 폴리머 증착을 위해, 182a는 탄소 원자들을 갖는 기판을 도시한다. 182b에서, 탄소 재료가 기판의 표면 상에 선택적으로 증착되도록 탄소는 탄소 함유 화학물질 예컨대 메탄 (CH4) 에 노출된다. 메탄이 예로서 도시되지만, CxHy의 화학식을 가질 수도 있는 (x 및 y는 1 이상의 정수들이다), 다른 탄소 함유 화학물질들이 사용될 수 있다. 선택적인 탄소 증착은 저 바이어스 (예를 들어, 셀프-바이어스 전력 = 약 5 V 내지 약 15 V) 및 약 30 W 내지 약 500 W의 범위의 저 RF 플라즈마 전력으로 수행될 수도 있다. 일부 실시예들에서, 탄소 함유 화학물질은 플라즈마를 생성하도록 하나 이상의 희석제들과 조합될 수도 있다. 예시적인 희석제들은 질소, 헬륨, 아르곤, 수소, 및 이들의 조합들을 포함한다. 182c에서, 과잉 폴리머를 제거하도록 챔버는 퍼지된다. 폴리머는 탄소 기판의 표면 상에 남는다.
도 4는 선택적인 폴리머 증착이 포토레지스트 상의 틈들 및 돌출부들의 존재를 감소시킬 수 있는 방법을 도시한다. 182b 동안, 탄소 함유 화학물질, 예컨대 메탄은 기판으로 전달되고 기판 상의 탄소 함유 재료에 흡착한다. 다양한 실시예들에서, 도 4의 포토레지스트 기판 (400) 의 틈 (450) 과 같은 틈들이 있고, 본 명세서에 기술된 바와 같은 자기-제한 프로세스를 사용하는 탄소 함유 재료 (401) 의 증착은 탄소 함유 재료를 사용하여 이들 틈들 (450) 을 충진하여, 표면을 평활화한다. 도 4에 도시된 바와 같이, 선택적인 증착은 돌출부들 (499) 상, 예컨대 포토레지스트 상의 증착을 포함할 수도 있다. 특정한 이론에 매이지 않고, 탄소 함유 재료의 표면 상의 틈들의 스케일이 원자 레벨일 수도 있기 때문에, 탄소 함유 재료가 기판의 표면 상에 균일하게 흡착하도록 탄소 함유 재료를 이들 틈들 내로 증착하는 것은 기판의 상대적으로 편평한 표면에 인접한 곳보다 틈에 보다 많은 재료가 증착되는 결과를 발생시킬 것이고, 따라서 증착 사이클 각각과 함께 틈들의 존재를 감소시킨다고 믿어진다.
일부 실시예들에서, 기판을 탄소 함유 화학물질에 노출한 후 기판은 또한 불활성 플라즈마에 노출될 수도 있다. 불활성 플라즈마는 수소, 헬륨, 질소, 아르곤, 및 네온 중 임의의 하나 이상을 흘리고 플라즈마를 점화시킴으로써 생성될 수도 있다. 플라즈마는 약 30 W 내지 약 500 W의 플라즈마 전력을 사용하여 점화될 수도 있다. 특정한 이론에 매이지 않고, 기판을 불활성 플라즈마에 노출시키는 것은 기판 상의 탄소 함유 재료 예컨대 포토레지스트로 하여금 증착을 방지하도록 약간 에칭되고 그리고/또는 리프레시되게 하여 (refreshed), 선택적인 증착을 발생시키게 한다고 믿어진다. 탄소 함유 화학물질 및 불활성 플라즈마에 대한 노출 1 번 이상의 사이클들로 수행될 수도 있다.
본 명세서에 기술된 바와 같은 ALE 기법들 선택적인 증착의 조합을 사용하여, 기판 상의 탄소 함유 재료들은, 특히 EUV 애플리케이션들을 위해 평활화된, 균일한 피처들을 발생시키도록 프로세싱될 수도 있다.
도 5는 ALE 및 선택적인 탄소 증착이 수행되는 실시예의 프로세스 흐름도이다. 도 5의 동작들은 약 5 mTorr 내지 약 100 mTorr의 챔버 압력을 갖는 챔버에서 수행될 수도 있다. 도 5의 동작들은 약 0 ℃ 내지 약 120 ℃ 또는 약 20 ℃ 내지 약 60 ℃의 기판 온도로 수행될 수도 있다. 기판 온도는 기판을 홀딩하는 페데스탈 또는 웨이퍼 홀더가 설정되는 온도를 의미하는 것으로 이해될 것이다. 도 5에 도시된 동작들은 도 1에 대해 상기 기술된 바와 같이 수행된 동작들을 개괄한다. 예를 들어, 동작 402에서, 탄소 함유 재료를 포함하는 기판이 챔버에 제공된다. 상기 기술된 바와 같이, 탄소 함유 재료는 포토레지스트, 또는 그라핀, 또는 비정질 탄소를 포함할 수도 있다. 동작 402는 도 1의 171a 및 172a에 도시된 개략적인 예시에 대응할 수도 있다. 동작 403에서, 기판은 기판의 표면을 개질하도록 개질 화학물질 예컨대 강 산화제 또는 약 산화제에 노출된다. 다양한 개시된 실시예들에서, 표면 상의 탄소 함유 재료가 개질된다. 이 동작은 도 1 및 도 2의 171b 및 172b에 도시된 개략적인 예시에 대응할 수도 있다. 동작 405에서, 챔버는 챔버로부터 과잉 개질 화학물질 (예컨대 약 산화제, 즉 CO2) 을 제거하기 위해 선택가능하게 퍼지된다. 이 동작은 도 1 및 도 3의 172d에 대응할 수도 있다. 챔버는 과잉 가스 상 개질 화학물질을 제거하기 위해 챔버를 배기하거나 개질 화학물질의 플로우를 중지하고, 비반응성 불활성 가스, 예컨대 헬륨 또는 아르곤을 흘림으로써 퍼지될 수도 있다. 동작 407에서, 기판은 개질된 표면을 제거하도록 불활성 가스 플라즈마에 노출된다. 동작 407 동안 기판을 스퍼터링하지 않고 개질된 표면을 제거하기 위해 불활성 가스 플라즈마에 충분한 에너지를 생성하도록 바이어스가 인가된다. 동작 409에서, 챔버는 챔버로부터 가스 상의 개질된 재료를 제거하도록 선택가능하게 퍼지된다. 동작 411에서, 동작들 403 내지 409는 선택가능하게 사이클들로 반복될 수도 있다. 동작 423에서, 기판은 기판 상에 탄소 함유 재료의 층을 흡착시키도록 탄소 함유 화학물질에 노출된다. 이는 일부 실시예들에서 기판의 탄소 함유 표면 상의 틈들을 충진하도록 사용될 수도 있다. 이 동작은 도 1 및 도 4의 182a에 대응할 수도 있다. 동작 424에서, 기판은 기판의 영역들을 패시베이팅하고 후속 사이클들에서 선택적인 증착을 허용하도록 불활성 가스 플라즈마에 선택가능하게 노출된다. 일부 실시예들에서, 챔버는 423 동작을 수행하는 것과 424 동작을 수행하는 것 사이에 퍼지될 수도 있다. 일부 실시예들에서, 기판은 임의의 기술된 동작들을 수행하는 사이에 1 회 이상 퍼지될 수도 있다. 다양한 실시예들에서, 동작들 423 및 424는 선택가능하게 사이클들로 반복될 수도 있고, 동작들 423 및 424를 수행하는 사이에 퍼지 동작을 사용하여 또는 퍼지 동작을 사용하지 않고 수행될 수도 있다. 동작 425에서, 챔버는 선택가능하게 퍼지될 수도 있다. 본 명세서에 기술된 바와 같이 퍼지 동작들은 임의의 적합한 퍼지 기법을 사용하여 챔버로부터 가스들을 펌핑함으로써, 하나 이상의 불활성 가스들을 흘림으로써, 또는 이들의 조합들에 의해 수행될 수도 있다는 것이 이해될 것이다. 동작 498에서, 기판 상에 목표된 표면을 형성하도록 기판이 충분히 에칭되는지 여부가 결정된다. 그렇지 않다면, 동작들 403 내지 498은 선택가능하게 n 번의 사이클들 동안 반복될 수도 있고, n은 1 이상의 정수이다. 일부 실시예들에서, 동작들 423 내지 425는 모두 반복된 사이클들이 아니라 일부만 반복되는 한편, 일부 실시예들에서, 동작들 423 내지 425는 매 사이클에서 반복된다.
ALE 프로세스와 선택적인 증착 프로세스를 결합함으로써, 포토레지스트 피처들의 LCDU 및 LER 양자가 개선된다. 이 개선은 이어서 아래에 놓인 하드 마스크 (예컨대 SiO2/SiN 층), 결과적으로 관심있는 구조체들로 전달되고 개선된 디바이스들의 가변성 및 성능을 발생시킨다.
ALE 동작들은 부드럽고 정밀하여 사이클 당 숫자로 계산된 (digital) 양의 재료를 제거하여 소프트한 레지스트 재료를 오버에칭하지 않도록 쉽게 제어될 수 있다. 유사하게, 탄소 기반 선택적인 증착은 매우 저 소스 전력 (예를 들어, TCP (transformer couple plasma)) 를 사용하거나 바이어스를 사용하지 않고, 증착은 레지스트를 손상시키지 않고 수행될 수 있다.
일부 실시예들에서, 선택적인 탄소 증착은 선택가능할 수도 있다. 예를 들어, 이들 특정한 실시예들은 CD 증가가 용인될 수 있는 애플리케이션들에서 사용될 수도 있다.
특정한 실시예들에서, 개시된 ALE 동작들과 선택적인 탄소 증착은 원래 CD가 포토레지스트를 사용하는 패터닝 프로세스 내내 유지되어야 한다면, LCDU를 개선하고 CD를 회복하기 위해 탄소 함유 재료에 대해 사용될 수도 있다.
장치
개시된 실시예들은 CA, Fremont 소재 Lam Research Corporation으로부터 입수가능한 Kiyo® FX와 같은 임의의 적합한 에칭 챔버 또는 장치에서 수행될 수도 있다. 채용될 수도 있는 플라즈마 에칭 챔버의 또 다른 적합한 예는 CA, Fremont 소재 Lam Research Corporation으로부터 입수가능한 Flex™ 반응성 이온 에칭 툴이다. 플라즈마 에칭 챔버들의 추가 기술은 전체가 본 명세서에 참조로서 인용된, 미국 특허 제 6,841,943 호 및 제 8,552,334 호에서 발견될 수도 있다.
일부 실시예들에서, ICP (inductively coupled plasma) 반응기가 사용될 수도 있다. 일 예가 도 6에 제공된다. 이러한 ICP 반응기들은 또한 본 명세서에 기술된 기법들의 구현을 위해 적합한 ICP 반응기를 기술할 목적으로 참조로서 본 명세서에 인용된, 2013년 12월 10 출원되고 2016년 6월 7일 허여된 명칭이 "METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLE HARDMASK"인 미국 특허 제 9,362,133 호에 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시예들에서, CCP (capacitively coupled plasma) 반응기들이 또한 사용될 수도 있다는 것이 이해될 것이다. 예시적인 에칭 챔버 또는 장치는 챔버 벽들, 프로세싱될 기판 또는 웨이퍼를 홀딩하기 위한 척으로서 웨이퍼를 척킹하고 디척킹하기 위한 정전 전극들을 포함할 수도 있고 RF 전력 공급부를 사용하여 전기적으로 대전될 수도 있는 척, 플라즈마를 생성하도록 코일에 전력을 공급하도록 구성된 RF 전력 공급부, 및 본 명세서에 기술된 바와 같이 가스들을 유입하기 위한 가스 플로우 유입부들을 포함할 수도 있다. 예를 들어, 개질 화학물질 가스들 및/또는 선택적인 증착 화학물질은 ALE 및/또는 선택적인 증착을 각각 수행하기 위해 에칭 챔버로 흐를 수도 있다. 일부 실시예들에서, 장치는 2 이상의 챔버를 포함할 수도 있고, 챔버 각각은 기판들을 에칭, 증착, 또는 프로세싱하도록 사용될 수도 있다. 챔버 또는 장치는 챔버 압력, 불활성 가스 플로우, 플라즈마 전력, 플라즈마 주파수, 반응성 가스 플로우 (예를 들어, 약 산화제 가스, 탄소 함유 가스, 등), 바이어스 전력, 온도, 진공 설정사항들, 및 다른 프로세스 조건들을 조정하는 것과 같은 챔버 또는 장치의 동작들 중 일부 또는 전부를 제어하기 위한 시스템 제어기를 포함할 수도 있다. 챔버는 또한 탄소 함유 재료를 기판 상으로 선택적으로 증착하도록 사용될 수도 있다.
도 6은 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (600) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo™ 반응기이다. 유도 결합 플라즈마 장치 (600) 는 챔버 (601) 벽들 및 윈도우 (611) 에 의해서 구조적으로 구획된 전체 프로세스 챔버 (601) 를 포함한다. 챔버 (601) 벽들은 스테인레스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (611) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (650) 는 상부 서브-챔버 (602) 및 하부 서브-챔버 (603) 로 전체 프로세싱 챔버 (601) 를 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (650) 는 제거될 수도 있고, 따라서, 서브-챔버들 (602 및 703) 로 이루어진 챔버 공간을 활용한다. 척 (617) 은 하단 내측 표면 근방에서 하부 프로세싱 챔버 (603) 내에 위치된다. 척 (617) 은 에칭 및 증착 프로세스들이 수행되는 반도체 웨이퍼 (619) 를 수용 및 홀딩하도록 구성된다. 척 (617) 은 웨이퍼 (619) 가 존재하는 경우에 웨이퍼 (619) 를 지지하는 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 이 척 (617) 을 둘러싸며 척 (617) 위에 존재할 경우에 웨이퍼 (619) 의 상단 표면과 대략 동일 평면에 있는 상부 표면을 갖는다. 척 (617) 은 또한 웨이퍼 (619) 의 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부가 이 목적을 위해서 제공될 수도 있다. 척 (617) 으로부터 웨이퍼 (619) 를 리프팅 (lifting) 하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (617) 은 RF 전력 공급부 (623) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (623) 는 연결부 (627) 를 통해 매칭 회로 (621) 에 연결된다. 매칭 회로 (621) 는 연결부 (625) 을 통해 척 (617) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (623) 는 척 (617) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (611) 위에 위치된 코일 (633) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에 사용되지 않는다. 코일 (633) 은 전기적으로 도전성 재료로 제조되고, 적어도 1 회의 완전한 턴 (turn) 을 포함한다. 도 6에 도시된 코일 (633) 의 예는 3 회의 턴들을 포함한다. 코일 (633) 의 단면도들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (633) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (641) 를 포함한다. 일반적으로, RF 전력 공급부 (641) 는 연결부 (645) 를 통해 매칭 회로 (639) 에 연결된다. 매칭 회로 (639) 는 연결부 (643) 를 통해 코일 (633) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (641) 는 코일 (633) 에 연결된다. 선택가능한 Faraday 차폐부 (649) 는 코일 (633) 과 윈도우 (611) 사이에 위치된다. Faraday 차폐부 (649) 는 코일 (633) 에 대해 이격된 관계로 유지될 수도 있다. Faraday 차폐부 (649) 는 윈도우 (611) 바로 위에 배치된다. 코일 (633), Faraday 차폐부 (649), 및 윈도우 (611) 는 각각 서로 실질적으로 평행하도록 구성된다. Faraday 차폐부는 금속 또는 다른 종이 플라즈마 챔버 (601) 의 유전체 윈도우 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어 산소, 이산화탄소, 메탄, 등) 이 상부 서브-챔버 (602) 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (660) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (670) 을 통해 프로세스 챔버 (601) 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 프로세스 가스들을 CCP 프로세싱 챔버로 공급하도록 유사한 가스 플로우 유입부들이 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프 및/또는 터보분자 펌프 (640) 가 프로세스 챔버 (601) 로부터 프로세스 가스들을 유출하도록 그리고 프로세스 챔버 (601) 내에서 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALD의 퍼지 동작 동안 챔버 (601) 를 배기하도록 사용될 수도 있다. 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하도록 프로세스 챔버 (601) 로 진공 펌프를 유체적으로 연결하도록 밸브-제어된 도관이 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, CCP 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (660 및/또는 670) 를 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스들은 주 가스 플로우 유입부 (660) 를 통해서만, 또는 측면 가스 플로우 유입부 (670) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 보다 복잡한 가스 플로우 유입부들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (649) 및/또는 선택가능한 그리드 (650) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (649) 및 선택가능한 그리드 (650) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할을 할 수도 있다. 일부 실시예들에서, 일단 액체 반응물질 또는 전구체가 기화되면, 기화된 반응물질 또는 전구체가 가스 플로우 유입부 (660 및/또는 670) 를 통해 챔버 (601) 내로 도입되도록 액체 기화 및 전달 시스템이 챔버 (601) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (633) 을 통해 흐르게 하도록 RF 전력 공급부 (641) 로부터 코일 (633) 로 RF 전력이 공급된다. 코일 (633) 을 통한 RF 전류는 코일 (633) 을 중심으로 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (602) 내에 유도 전류를 생성한다. 웨이퍼 (619) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호작용들은 웨이퍼의 피처들을 선택적으로 에칭하고 웨이퍼 상에 층들을 선택적으로 증착한다.
상부 서브-챔버 (602) 및 하부 서브-챔버 (603) 양자가 있도록 플라즈마 그리드가 사용되면, 상부 서브-챔버 (602) 내에서 전자-이온 플라즈마를 생성하도록 유도 전류는 상부 서브-챔버 (602) 내에 존재하는 가스에 작용한다. 선택가능한 내부 플라즈마 그리드 (650) 는 하부 서브-챔버 (603) 내 핫 (hot) 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (603) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자가 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 양이온들에 대한 음이온들의 보다 큰 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (622) 를 통해 하부 서브-챔버 (603) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (617) 은 약 10 ℃ 내지 약 250 ℃의 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세싱 동작 및 구체적인 레시피에 따를 것이다.
챔버 (601) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 챔버 (601) 에 커플링된다. 부가적으로, 챔버 (601) 는 로보틱스들로 하여금 통상적인 자동화를 사용하여 반도체 웨이퍼들을 장치 챔버 (601) 내외로 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, 시스템 제어기 (630) (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있음) 는 프로세싱 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (630) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 최대 약 500 ㎳, 또는 최대 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 의존할 수도 있다.
프로세싱 챔버 (601) 또는 장치는 시스템 제어기를 포함할 수도 있다. 예를 들어, 일부 실시예들에서, 제어기 (630) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (630) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (630) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (630) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (630) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (630) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
프로세싱 챔버 (601) 는 도 7에 도시된 바와 같은 멀티-스테이션 툴에 통합될 수도 있다. 스테이션 각각은 상이한 동작들을 프로세싱하도록 사용될 수도 있다. 예를 들어, 일 스테이션은 ALE를 수행하도록 사용될 수도 있는 한편, 또 다른 스테이션은 선택적인 증착을 수행하도록 사용된다. 개시된 실시예들은 진공을 파괴하지 않고 수행될 수도 있고 동일한 장치에서 수행될 수도 있다. 다양한 실시예들에서, ALE 및 선택적인 증착은 진공을 파괴하지 않고 수행된다. 다양한 실시예들에서, ALE 및 선택적인 증착은 동일한 챔버 내에서 수행된다.
도 7은 VTM (vacuum transfer module) (738) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록 또는 이송 모듈로 공지된 에어록 모듈 (730) 은, 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (720a 내지 720d) 과 함께 VTM (738) 으로 도시된다. 예로서, 프로세싱 모듈들 (720a 내지 720d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 일부 실시예들에서, ALE 및 선택적인 증착은 동일한 모듈에서 수행된다. 일부 실시예들에서, ALE 및 선택적인 증착은 동일한 툴의 상이한 모듈들에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (임의의 720a 내지 720d) 이 본 명세서에 개시된 바와 같이, 즉, ALE를 수행하기 위해, 탄소 함유 재료를 선택적으로 증착하기 위해, 그리고 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 모듈 (730) 및 프로세스 모듈 (720) 은 "스테이션들"로 지칭될 수도 있다. 스테이션 각각은 VTM (738) 으로 스테이션을 인터페이싱하는 패싯 (facet) (736) 을 갖는다. 패싯 각각 내부에서, 각각의 스테이션들 사이에서 이동될 때 웨이퍼 (726) 의 통과를 검출하도록 센서들 (1 내지 18) 이 사용된다.
로봇 (722) 은 웨이퍼 (726) 를 스테이션들 사이에서 이송한다. 일 실시예에서, 로봇 (722) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (722) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (726) 와 같은 웨이퍼들을 픽킹 (pick) 하기 위한 엔드 이펙터 (724) 를 갖는다. ATM (atmospheric transfer module) (740) 내의 프론트-엔드 로봇 (732) 은 LPM (Load Port Module) (742) 의 카세트 또는 FOUP (Front Opening Unified Pod) (734) 로부터 웨이퍼들 (726) 을 에어록 모듈 (730) 로 이송하도록 사용된다. 프로세스 모듈 (720) 에서 모듈 중심 (728) 은 웨이퍼 (726) 를 배치하기 위한 일 위치이다. ATM (740) 의 얼라이너 (744) 는 웨이퍼들을 정렬시키도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (742) 내 FOUP들 (734) 중 하나에 배치된다. 프론트-엔드 로봇 (732) 은 FOUP (734) 로부터 얼라이너 (744) 로 웨이퍼를 이송하고, 이는 웨이퍼 (726) 로 하여금 에칭되거나 프로세싱되기 전에 적절히 중심에 위치되게 한다. 정렬된 후, 웨이퍼 (726) 는 프론트-엔드 로봇 (732) 에 의해 에어록 모듈 (730) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 간 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (726) 는 손상되지 않고 두 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (730) 로부터, 웨이퍼 (726) 는 로봇 (722) 에 의해 VTM (738) 을 통해 프로세스 모듈들 (720a 내지 720d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (722) 은 암들 각각에서 엔드 이펙터들 (724) 을 사용한다. 일단 웨이퍼 (726) 가 프로세싱되면, 웨이퍼는 로봇 (722) 에 의해 프로세스 모듈들 (720a 내지 720d) 로부터 에어록 모듈 (730) 로 이동된다. 여기서부터, 웨이퍼 (726) 는 프론트-엔드 로봇 (732) 에 의해 FOUP들 (734) 중 하나 또는 얼라이너 (744) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 로컬일 수 있고, 또는 제작 현장의 클러스터 아키텍처 외부에 위치될 수 있고, 또는 리모트 위치에 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 6에 대해 상기 기술된 바와 같은 제어기는 도 7의 툴을 사용하여 구현될 수도 있다.
실험
실험 1
실험이 탄소 함유 포토레지스트에 대해 수행된다. 에칭 프로세스들 전의 기판이 도 8a에 도시된다.
종래의 RIE 에칭은 20 ℃에서 기판을 HBr 및 900 W의 플라즈마 전력에 15 초 동안 노출시킴으로써 수행된다. 발생되는 기판은 도 8b에 도시된다.
또 다른 시도에서, 기판은 60 ℃에서 10 사이클들의 ALE에 노출된다. 동작들은 CO2 플라즈마에 노출, 퍼지, 저 바이어스를 갖는 헬륨 플라즈마에 노출 및 퍼지를 포함한다. 발생되는 포토레지스트는 LER의 개선과 함께, 평활한 측벽들 및 감소된 거칠기를 갖는다. 스트링거들이 감소되고 포토레지스트의 스컴이 감소되었다. 발생되는 기판은 도 8c에 도시된다.
또 다른 시도에서, 기판은 20 ℃에서 10 사이클들의 ALE에 노출된다. 동작들은 CO2 플라즈마에 노출, 퍼지, 저 바이어스를 갖는 헬륨 플라즈마에 노출 및 퍼지를 포함한다. 발생되는 기판은 도 8d에 도시된다.
또 다른 시도에서, 기판은 60 ℃에서 10 사이클들의 ALE에 노출된다. 동작들은 CO2 플라즈마에 노출, 퍼지, 저 바이어스를 갖는 헬륨 플라즈마에 노출 및 퍼지를 포함한다. 발생되는 기판은 도 8e에 도시된다.
ALE를 수행하는 것은 가시적으로 보다 평활한 라인들을 갖는 기판을 발생시킨다. 이들 결과들은 ALE가 20 ℃에서 수행될 수도 있음을 암시한다.
실험 2
포토레지스트의 ALE가 3 사이클들 동안, 그리고 5 사이클들 동안 수행되는 실험이 수행되었다. ALE가 수행되지 않은 기판은 도 9a 내지 도 9c에 도시된다.
CO2 플라즈마에 노출, 퍼지, 저 바이어스를 갖는 헬륨 플라즈마에 노출 및 퍼지를 포함하는 ALE 동작들의 3 사이클들에 기판이 노출된다. 3 사이클들 후 기판이 도 10a 내지 도 10c에 도시된다.
CO2 플라즈마에 노출, 퍼지, 저 바이어스를 갖는 헬륨 플라즈마에 노출 및 퍼지를 포함하는 ALE 동작들의 5 사이클들에 기판이 노출된다. 3 사이클들 후 기판이 도 11a 내지 도 11c에 도시된다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 개시된 실시예들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (34)

  1. 기판들을 프로세싱하기 위한 방법에 있어서,
    (a) 산화제가 제 1 탄소 함유 재료의 표면 상에 흡착되도록 상기 제 1 탄소 함유 재료를 포함하는 기판을 상기 산화제에 노출시키고 상기 제 1 탄소 함유 재료의 상기 표면을 개질하도록 제 1 플라즈마를 점화하는 단계; 및
    (b) 바이어스 전력의 제 2 플라즈마에 그리고 스퍼터링하지 않고 상기 개질된 표면을 제거하기 충분한 지속기간 동안 상기 개질된 표면을 노출하는 단계를 포함하는, 기판들을 프로세싱하기 위한 방법.
  2. 제 1 항에 있어서,
    (c) 상기 제 1 탄소 함유 재료 상의 틈들을 충진하도록 상기 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계를 더 포함하는, 기판들을 프로세싱하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 기판 상에 상기 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 5 V 내지 15 V의 전력의 셀프-바이어스를 인가하는 단계 및 30 W 내지 500 W의 전력을 사용하여 플라즈마를 점화하는 단계를 포함하는, 기판들을 프로세싱하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 기판 상에 상기 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 메탄을 도입하는 단계를 더 포함하는, 기판들을 프로세싱하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 기판 상에 상기 제 2 탄소 함유 재료를 선택적으로 증착하는 단계는 질소, 헬륨, 아르곤, 수소, 및 이들의 조합으로 구성된 그룹으로부터 선택된 희석제를 도입하는 단계를 더 포함하는, 기판들을 프로세싱하기 위한 방법.
  6. 제 2 항에 있어서,
    상기 단계 (c) 는 상기 제 1 탄소 함유 재료의 상기 표면에 메탄 층이 흡착하도록 상기 기판을 메탄에 노출하는 단계 및 상기 기판을 제 3 플라즈마에 노출하는 단계를 포함하는, 기판들을 프로세싱하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 바이어스 전력은 30 V 내지 100 V일 수도 있는, 기판들을 프로세싱하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 산화제는 강 산화제인, 기판들을 프로세싱하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 강 산화제는 산소인, 기판들을 프로세싱하기 위한 방법.
  10. 제 8 항에 있어서,
    상기 제 1 플라즈마는 15 W 내지 500 W의 플라즈마 전력을 사용하여 생성되는, 기판들을 프로세싱하기 위한 방법.
  11. 제 8 항에 있어서,
    상기 제 1 플라즈마는 5 V 내지 50 V의 또 다른 바이어스 전력으로 점화되는, 기판들을 프로세싱하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 산화제는 약 산화제인, 기판들을 프로세싱하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 약 산화제는 이산화탄소, 일산화탄소, 이산화 황, 산화 질소, 질소, 및 암모니아로 구성된 그룹으로부터 선택되는, 기판들을 프로세싱하기 위한 방법.
  14. 제 12 항에 있어서,
    상기 제 1 플라즈마는 30 W 내지 500 W의 플라즈마 전력을 사용하여 생성되는, 기판들을 프로세싱하기 위한 방법.
  15. 제 12 항에 있어서,
    상기 제 1 플라즈마는 30 V 내지 100 V의 또 다른 바이어스 전력으로 점화되는, 기판들을 프로세싱하기 위한 방법.
  16. 제 1 항에 있어서,
    상기 제 1 탄소 함유 재료는 포토레지스트, 비정질 탄소, 및 그라핀으로 구성된 그룹으로부터 선택되는, 기판들을 프로세싱하기 위한 방법.
  17. 제 1 항에 있어서,
    상기 제 1 탄소 함유 재료는 EUV (extreme ultraviolet) 리소그래피에 의해 패터닝된 포토레지스트인, 기판들을 프로세싱하기 위한 방법.
  18. 제 1 항에 있어서,
    상기 단계 (b) 의 상기 제 2 플라즈마는 수소, 헬륨, 질소, 아르곤, 및 네온으로 구성된 그룹으로부터 선택된 불활성 가스를 도입하고 상기 제 2 플라즈마를 점화함으로써 생성되는, 기판들을 프로세싱하기 위한 방법.
  19. 기판들을 프로세싱하기 위한 방법에 있어서,
    (a) 산화제가 제 1 탄소 함유 재료의 표면 상에 흡착되도록 상기 제 1 탄소 함유 재료를 포함하는 기판을 상기 산화제에 노출시키고 상기 제 1 탄소 함유 재료의 상기 표면을 개질하도록 제 1 플라즈마를 점화하는 단계;
    (b) 바이어스 전력의 제 2 플라즈마에 그리고 스퍼터링하지 않고 상기 개질된 표면을 제거하기 충분한 지속기간 동안 상기 개질된 표면을 노출하는 단계; 및
    (c) CxHy (x 및 y는 1 이상의 정수들임) 화학식을 갖는 전구체를 사용하여 상기 제 1 탄소 함유 재료 상의 틈들을 충진하도록 상기 기판 상에 제 2 탄소 함유 재료를 선택적으로 증착하는 단계를 포함하는, 기판들을 프로세싱하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 전구체는 메탄을 포함하는, 기판들을 프로세싱하기 위한 방법.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
KR1020170052754A 2016-04-29 2017-04-25 Ale 및 선택적인 증착을 사용하여 기판들 에칭 KR102504770B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230024368A KR20230030615A (ko) 2016-04-29 2023-02-23 Ale 및 선택적인 증착을 사용하여 기판들 에칭

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662329916P 2016-04-29 2016-04-29
US62/329,916 2016-04-29
US15/494,245 US10269566B2 (en) 2016-04-29 2017-04-21 Etching substrates using ale and selective deposition
US15/494,245 2017-04-21

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230024368A Division KR20230030615A (ko) 2016-04-29 2023-02-23 Ale 및 선택적인 증착을 사용하여 기판들 에칭

Publications (2)

Publication Number Publication Date
KR20170124087A KR20170124087A (ko) 2017-11-09
KR102504770B1 true KR102504770B1 (ko) 2023-02-27

Family

ID=60156907

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170052754A KR102504770B1 (ko) 2016-04-29 2017-04-25 Ale 및 선택적인 증착을 사용하여 기판들 에칭
KR1020230024368A KR20230030615A (ko) 2016-04-29 2023-02-23 Ale 및 선택적인 증착을 사용하여 기판들 에칭

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230024368A KR20230030615A (ko) 2016-04-29 2023-02-23 Ale 및 선택적인 증착을 사용하여 기판들 에칭

Country Status (6)

Country Link
US (2) US10269566B2 (ko)
JP (1) JP7058080B2 (ko)
KR (2) KR102504770B1 (ko)
CN (2) CN107464747B (ko)
SG (1) SG10201703480QA (ko)
TW (1) TWI725177B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200128185A (ko) * 2018-03-30 2020-11-11 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) * 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102286359B1 (ko) * 2018-11-14 2021-08-05 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 피처리 시료의 처리 방법
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR20230144653A (ko) * 2019-03-14 2023-10-16 램 리써치 코포레이션 고 종횡비 에칭을 위한 플라즈마 에칭 툴
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
CN110718440B (zh) * 2019-10-16 2022-06-14 北京北方华创微电子装备有限公司 原子层刻蚀设备及刻蚀方法
WO2021236359A1 (en) * 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11515163B2 (en) * 2021-01-06 2022-11-29 Applied Materials, Inc. Low temperature graphene growth
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102626118B1 (ko) 2023-09-12 2024-01-17 에이피티씨 주식회사 셀로우 에칭 프로세스 챔버

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101380835B1 (ko) 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
WO2016029817A1 (zh) * 2014-08-28 2016-03-03 北京北方微电子基地设备工艺研究中心有限责任公司 原子层刻蚀装置及采用其的原子层刻蚀方法

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) * 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JP2002510146A (ja) 1998-01-13 2002-04-02 アプライド マテリアルズ インコーポレイテッド 異方性プラチナプロファイルのエッチング方法
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
JP5260356B2 (ja) * 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
CN103620745B (zh) * 2011-08-25 2016-09-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JP6035117B2 (ja) * 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
WO2014110450A2 (en) * 2013-01-11 2014-07-17 Solan, LLC Methods for integrating lead and graphene growth and devices formed therefrom
JP6242095B2 (ja) * 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9892935B2 (en) 2015-05-28 2018-02-13 International Business Machines Corporation Limiting electronic package warpage with semiconductor chip lid and lid-ring
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
EP3375008B1 (en) 2015-11-10 2020-05-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Plasma-free etching process
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
TWI658512B (zh) 2016-02-23 2019-05-01 東京威力科創股份有限公司 原子層蝕刻用方法與系統
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101380835B1 (ko) 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
WO2016029817A1 (zh) * 2014-08-28 2016-03-03 北京北方微电子基地设备工艺研究中心有限责任公司 原子层刻蚀装置及采用其的原子层刻蚀方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200128185A (ko) * 2018-03-30 2020-11-11 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)

Also Published As

Publication number Publication date
TW201802935A (zh) 2018-01-16
JP2017199909A (ja) 2017-11-02
US20190244805A1 (en) 2019-08-08
US10269566B2 (en) 2019-04-23
KR20170124087A (ko) 2017-11-09
CN107464747A (zh) 2017-12-12
TWI725177B (zh) 2021-04-21
US10685836B2 (en) 2020-06-16
KR20230030615A (ko) 2023-03-06
JP7058080B2 (ja) 2022-04-21
CN115241052A (zh) 2022-10-25
SG10201703480QA (en) 2017-11-29
CN107464747B (zh) 2022-07-12
US20170316935A1 (en) 2017-11-02

Similar Documents

Publication Publication Date Title
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102617520B1 (ko) 탄탈룸의 원자층 에칭 (ale)
US20190131130A1 (en) Etching metal oxide substrates using ale and selective deposition
US9620376B2 (en) Self limiting lateral atomic layer etch
US9870899B2 (en) Cobalt etch back
US11742212B2 (en) Directional deposition in etch chamber
KR20170093718A (ko) 연속적인 플라즈마의 원자층 에칭
KR20160143553A (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
WO2024006088A1 (en) Integrated high aspect ratio etching

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant