KR20170093718A - 연속적인 플라즈마의 원자층 에칭 - Google Patents

연속적인 플라즈마의 원자층 에칭 Download PDF

Info

Publication number
KR20170093718A
KR20170093718A KR1020170014362A KR20170014362A KR20170093718A KR 20170093718 A KR20170093718 A KR 20170093718A KR 1020170014362 A KR1020170014362 A KR 1020170014362A KR 20170014362 A KR20170014362 A KR 20170014362A KR 20170093718 A KR20170093718 A KR 20170093718A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
etched
etching
inert
Prior art date
Application number
KR1020170014362A
Other languages
English (en)
Inventor
종규 탄
이팅 장
잉 우
칭 슈
첸 푸
요코 야마구치
린 추이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170093718A publication Critical patent/KR20170093718A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

재료를 에칭하도록 사용된 화학물질들 및 에칭될 재료를 평가함으로써 결정된 제거 에너지 문턱값들에 기초한 자기-제한 반응들을 사용하여 기판들을 에칭하기 위한 방법들 및 장치는 연속적인 플라즈마의 플로우를 수반한다. 프로세스 조건들은 기판 상의 재료를 에칭하도록 사용된 화학물질들 간의 교번 없이 제어된, 자기-제한 이방성 에칭을 허용한다. 잘 제어된 에칭 프런트 (front) 는, 기판이 반응성 라디칼들에 의해 개질되고 그리고 불활성 이온들에 의해 제거될 때 재료가 에칭되지만, 재료가 반응성 라디칼들에 의해 개질되지만 불활성 이온들이 존재하지 않을 때, 또는 불활성 이온들이 존재하지만 재료가 반응성 라디칼들에 의해 개질되지 않을 때, 재료가 에칭되지 않도록, 에칭을 수행하기 위해 반응성 라디칼들과 불활성 이온들의 시너지 효과를 허용한다.

Description

연속적인 플라즈마의 원자층 에칭{ATOMIC LAYER ETCHING IN CONTINUOUS PLASMA}
원자 스케일의 플라즈마 에칭은 수년 동안 연구되어 왔다. 종래의 플라즈마 에칭 프로세스들은 종종 반응성 이온들 및 반응성 화학물질을 사용하여 고 에칭 레이트로 수행되지만, 플라즈마의 반응도에 기인하여, 에칭 프로세스는 종종 에칭될 재료 하에서 층들의 바람직하지 않은 에칭을 발생시킨다.
재료를 에칭하도록 사용된 화학물질들 및 에칭될 재료를 평가함으로써 결정된 제거 에너지 문턱값들에 기초한 자기-제한 반응들을 사용하여 기판들을 에칭하기 위한 방법들 및 장치가 본 명세서에 제공된다. 실시예들은 기판 상의 재료를 에칭하도록 사용된 화학물질들 간의 교번 없이, 제어된, 자기-제한 이방성 에칭을 허용하도록 프로세스 조건들에서 연속적인 플라즈마의 플로우를 수반한다. 개시된 실시예들에 따라, 잘 제어된 에칭 프런트 (front) 는, 기판이 반응성 라디칼들에 의해 개질되고 그리고 불활성 이온들에 의해 제거될 때 재료가 에칭되지만, 재료가 반응성 라디칼들에 의해 개질되지만 불활성 이온들이 존재하지 않을 때, 또는 불활성 이온들이 존재하지만 재료가 반응성 라디칼들에 의해 개질되지 않을 때, 재료가 에칭되지 않도록, 에칭을 수행하기 위해 반응성 라디칼들과 불활성 이온들의 시너지 효과를 허용한다.
일 양태에서, 본 개시는 기판의 재료를 에칭하는 방법에 관한 것이다. 방법은 자기-제한 반응들을 사용하여 재료를 제거하도록 불활성 이온 가스에 의해 생성된 플라즈마 및 반응성 종에 의해 생성된 플라즈마 양자에 프로세싱 챔버 내의 기판을 노출시키는 단계를 수반하고, 불활성 이온 가스를 사용하여 반응성 종에 의해 개질된 재료의 층을 제거하기 위한 에너지 문턱값은, 불활성 이온 가스를 사용하여 기판 상에 재료를 스퍼터링하기 위한 에너지 문턱값 미만이다. 다양한 실시예들에 따라, 반응성 종에 대한 기판의 노출은 노출된 기판 재료를 개질하고, 그리고 플라즈마에 의해 생성된 불활성 이온들은 개질된 기판 재료를 제거하고, 이에 따라 기판 재료를 에칭한다. 다양한 실시예들에 따라, 반응성 종 및 불활성 이온들에 대한 기판의 노출 동안, 소스 전력 및 바이어스 전력 양자가 에칭 동안 연속적으로 온 (on) 이도록 플라즈마가 연속적으로 전달된다. 그리고, 다양한 실시예들에 따라, 에칭 동안 프로세싱 챔버 내에 존재하는 불활성 가스들의 농도는 챔버 내의 모든 화학 종의 99 % 초과이지만, 반응성 종의 농도는 약 1 % 미만이다.
또 다른 양태에서, 본 개시는 기판의 재료를 에칭하기 위한 장치를 제공하고, 장치는 본 명세서에 기술된 바와 같이 장치의 프로세싱 챔버 내에서 기판의 재료를 에칭하는 방법에 영향을 주는 제어기를 갖는다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 이 개시에 따른 원자층 에칭의 논의와 관련된 에너지 문턱값들을 도시한 에너지 다이어그램을 도시한다.
도 2a 및 도 2b는 특정한 개시된 실시예들의 동작들을 겪은 기판의 개략적인 예시들이다.
도 3은 특정한 개시된 실시예들에 따라 수행된 동작들을 도시한 프로세스 플로우 도면이다.
도 4는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 에칭 챔버의 개략적인 도면이다.
도 5는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 장치의 개략적인 도면이다.
도 6은 이 개시에 따른 원자층 에칭의 논의와 관련된 다양한 불활성 가스들에 대한 압력 및 이온 밀도의 참조 데이터의 플롯을 도시한다.
다음의 기술에서, 수많은 구체적인 상세들이 제공된 실시예들의 완전한 이해를 제공하도록 제시된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않음이 이해될 것이다.
ALE (atomic layer etching) 는 에칭 거동의 원자 스케일 제어를 위한 일 방법이다. ALE는 자기-제한적인 순차적인 반응 단계들을 사용하여 재료들의 박층들을 제거하기 위한 기법이다. ALE는 표면 개질 동작 (즉, 기판 표면 상의 반응성 화학물질의 라디칼 반응에 의한 화학 흡착) 에 이어 제거 동작 (즉, 불활성, 비반응성 이온들을 사용한 이온 보조된 에칭) 이 행해질 수도 있다. 이러한 동작들은 사이클들의 특정한 수 동안 반복될 수도 있다. ALE 동안, 반응성 화학물질 및 불활성 이온들은 기판에 별도로 전달된다.
ALE는 적어도 일부 에칭 애플리케이션들에 대해 종래의 플라즈마 에칭에 비해 많은 이점들을 갖는다. 예를 들어, ALE는 이방성 에칭을 수행하기에 적합할 수도 있고, 그리고 개선된 쓰루-피치 (through-pitch) 로딩 및 종횡비 의존 에칭을 발생시킬 수도 있다. ALE는 또한 일반적으로 에칭될 재료에 대한 보다 적은 손상 및 에칭될 재료 위에 가로 놓인 마스크 재료에 대한 개선된 선택도를 발생시킨다.
그러나, ALE는, 매우 박층들이 ALE 사이클 각각에서 에칭되고 그리고 개질 화학물질이 에칭될 재료의 표면을 상당히 포화시키기에 충분한 시간을 갖도록 사이클 각각이 포화 커브 대기 시간을 필요로 하기 때문에, 종래의 플라즈마 에칭보다 보통 느리다. 포화는 또한 제거 동작 동안 사용되고, 그러므로 연장된 프로세스 시간을 발생시킨다. 또한, 표면 개질 동작에서 사용되는 화학물질들은 제거 동작에서 사용되는 화학물질들과 상이하고, 이는 개질 화학물질 및 제거 화학물질의 교번하는 펄스들로 ALE를 수행할 때, 챔버로의 화학물질 플로우들 사이에서 스위칭하는데 사용되는 시간을 증가시킨다. 화학물질들은 또한 종종 자기-제한 반응이 사이클 각각에서 수행된다는 것을 보장하도록 사이클 각각 동안 펄스들 사이에서 퍼지된다. 일 사이클에서 복수의 가스 변화들을 사용하여 발생하는, 보다 느린 에칭 레이트 및 프로세스 제어 어려움은 반도체 제조에서 ALE의 사용을 제한할 수 있다. ALE는 또한 종종 에칭될 기판 상의 화학물질 빌드업 (buildup)의 가능성을 감소시키도록 유사한 화학물질을 사용하여 수행된다. 예를 들어, 보다 복합적인 분자들은 이러한 분자들이 에칭될 재료의 표면 상에 빌드업될 수도 있고 그리고 자기-제한 에칭 프로세스를 수행하도록 표면을 완전히 포화시키지 않을 것이기 때문에 ALE를 사용하기에 적합하지 않을 수도 있다.
기판들을 에칭하는 개시된 방법들은 재료를 에칭하도록 사용된 화학물질들 및 에칭될 재료를 평가함으로써 결정된 제거 에너지 문턱값들에 기초한 자기-제한 반응들을 사용한다. 실시예들은 기판 상의 재료를 에칭하도록 사용된 화학물질들 간의 교번 없이 제어된, 자기-제한 이방성 에칭을 허용하도록 프로세스 조건들에서 연속적인 플라즈마의 플로우를 수반한다.
연속적인 플라즈마를 사용하여 수행된 개시된 실시예들은 ALE 및 정기적인 연속적인 플라즈마 에칭 양자의 이득들을 결합한다. 개시된 실시예들에서 생성된 플라즈마는 에천트들로서 불활성 이온들 및 반응성 종 (예를 들어, 라디칼들 또는 분자들) 을 포함하지만, 반응성 이온들의 임의의 에칭 효과가 무시해도 될 정도이도록 저 반응성 이온 밀도를 유지한다. 본 명세서에서 기술된 바와 같이, 불활성 이온들은 기판의 표면 상의 개질된 재료를 제거하도록 충분한 에너지를 갖지만 개질되지 않은 재료 자체를 에칭하기에 충분히 반응성이 있지 않은 헬륨 또는 아르곤과 같은 가스들의 이온들을 지칭한다. 부가적으로, 반응성 이온 밀도는 기판 상의 재료를 에칭할 수도 있도록 반응성인 산소 또는 염소 이온들과 같은 이온들의 밀도를 지칭한다. 대조적으로, 본 명세서에 기술된 바와 같은 반응성 종, 반응성 라디칼들 또는 반응성 화학물질은, 기판의 표면을 개질하도록 기판의 표면 상에서 재료와 반응하도록 사용되는 전하가 없는 플라즈마 종을 지칭할 수도 있다.
일부 실시예들에서, 챔버 내에 존재하는 불활성 가스들의 농도는 챔버 내의 모든 화학 종의 약 99 % 초과이지만, 반응성 종 (예를 들어, 개질 화학물질) 의 농도는 약 1 % 미만일 수도 있다. 일부 실시예들에서, 고압 플라즈마는 기판을 개질하도록 충분한 라디칼 밀도의 심지어 저 농도를 가진 반응성 종을 생성하지만, 고압에서의 저 농도 및 보다 짧은 평균-자유-경로에 기인하여, 기판에서 반응성 이온들의 매우 저 농도를 유지하여, 고 화학 흡착 레이트 및 ALE의 에칭 레이트보다 빠른 에칭 레이트를 유지한다.
다양한 실시예들에서, 저 바이어스 전력 (예를 들어, 약 50 Vb) 은 에칭 프로세스 동안 인가될 수도 있다. 일부 실시예들에서, 0 Vb 내지 약 50 Vb와 같은 바이어스 전력이 펄싱된다. 용어들 "바이어스 전력" 및 "바이어스 전압"은 바이어스가 페데스탈에 인가될 때 페데스탈이 설정되는 전압을 기술하도록 본 명세서에서 교환 가능하게 사용된다는 것이 이해될 것이다. 문턱값 바이어스 전력 또는 문턱값 바이어스 전압은 페데스탈 상의 기판의 표면 상의 재료가 스퍼터링되기 전에 페데스탈에 인가된 바이어스의 최대 전압을 지칭한다. 그러므로 문턱값 바이어스 전력은 부분적으로, 에칭될 재료, 플라즈마를 생성하도록 사용된 가스, 플라즈마를 점화시키기 위한 플라즈마 전력, 및 플라즈마 주파수에 따라 결정된다. 본 명세서에 기술된 바와 같은 바이어스 전력 또는 바이어스 전압은 단위 "V" 또는 "Vb"로 나타낸 볼트로 측정되고, 여기서 b는 바이어스를 지칭한다.
개시된 실시예들에 따라, 잘 제어된 에칭 프런트 (front) 는, 기판이 반응성 라디칼들에 의해 개질되고 그리고 불활성 이온들에 의해 제거될 때 재료가 에칭되지만, 재료가 반응성 라디칼들에 의해 개질되지만 불활성 이온들이 존재하지 않을 때, 또는 불활성 이온들이 존재하지만 재료가 반응성 라디칼들에 의해 개질되지 않을 때, 재료가 에칭되지 않도록, 에칭을 수행하기 위해 반응성 라디칼들과 불활성 이온들의 시너지 효과를 허용한다. 개시된 실시예들은 이온들 및 플라즈마로부터의 기판에 대한 손상을 감소시키지만, 고 에칭 선택도 및 평활한 에칭 프로파일들을 유지한다. 다양한 실시예들에서, 측방향 에칭 또는 언더컷팅 (undercutting) 이 거의 또는 전혀 없도록, 프로세스 조건들이 챔버 압력, 불활성 이온들의 농도, 반응성 종의 농도, 플라즈마 전력, 플라즈마 주파수, 온도, 및 노출 시간을 가변시킴으로써 제어되기 때문에, 에칭될 피처들의 측벽들은 패시베이팅될 필요가 없을 수도 있다. 개시된 실시예들은 또한 프로세스 조건들이 기판의 표면에서 자기-제한 반응들을 유지하도록 제어되기 때문에 로딩 효과들 또는 마이크로로딩 (microloading) 을 감소시킨다. 다양한 사이즈들의 피처들 내에서 반응성 종 및 불활성 이온들의 확산 레이트들 및 연속적인 에칭을 수반한 프로세스에 기인한 일부 로딩 효과들이 있을 수도 있지만, 에칭 프로세스는 보다 큰 임계 치수 피처와 보다 작은 임계 치수 피처 사이의 에칭 레이트를 밸런싱하도록 (balance) 노출의 지속기간을 제어하고 그리고 바이어스를 펄싱함으로써 제어될 수도 있다.
도 1은 이 개시에 따른 원자층 에칭의 논의와 관련된 에너지 문턱값들 E1, E2, 및 E3을 도시한 에너지 다이어그램을 도시한다. 개시된 실시예들을 수행하기 위한 에칭 화학물질들 및 프로세스 조건들은 3 개의 에너지 문턱값들: (1) E1, 재료의 표면으로부터 개질된 재료를 제거하도록 필요한 에너지 문턱값; (2) E2, 불활성 이온들이 에칭될 재료 (또는 에칭될 재료 아래에 있는 재료) 의 표면 상에 충격을 가하거나 스퍼터링하도록 충분한 에너지를 가져서, 기판 상의 재료의 물리적인 제거를 발생시키는 에너지 문턱값; 및 선택 가능하게 (3) E3, 불활성 이온들이 타깃 층 위의 마스크 층의 표면 상에 충격을 가하거나 스퍼터링하도록 충분한 에너지를 가져서, 마스크 재료의 물리적인 제거를 발생시키는 에너지 문턱값에 기초하여 선택된다. 도 1은 기판에 대한 손상을 감소시키고 그리고 에칭 선택도를 유지하는 동안 개시된 실시예들이 자기-제한 에칭의 이득들을 활용하도록 사용될 수 있는 구역 (E1 내지 E2) 을 도시한 에너지 도면이다.
프로세스 조건들 및 에칭 화학물질들은 E1이 E2 미만이도록 선택되고, 그리고 에칭될 재료가 패터닝된 마스크 (블랭킷 층인 에칭될 재료와 대조적임) 아래에 있다면, 프로세스 조건들 및 에칭 화학물질들은 또한 E1이 E2 및 E3 양자 미만이도록 선택된다. 이러한 에너지 문턱값들은 불활성 이온들이 표면으로부터 개질된 재료를 제거하도록 충분한 에너지 (에너지는 E1 초과여야 함) 를 갖지만, 불활성 이온들이 에칭될 재료의 표면을 스퍼터링하지 않고 (에너지는 E2 미만이어야 함), 그리고, 기판을 패터닝하도록 에칭하는 경우에, 불활성 이온들이 마스크에 손상을 유발하거나 스퍼터링하지 않는다는 것 (에너지는 E3 미만이어야 함) 을 보장하도록 선택된다.
선택된 화학물질들을 사용한 자연 발생적인 에칭이 없고 그리고 상기 에너지 문턱값들이 유지된다면, 개시된 실시예들은 또한 많은 상이하고 복잡한 에칭 화학물질들에 적용될 수 있다.
일부 실시예들에서, 상대적으로 고압 플라즈마가 사용된다. 챔버의 압력은 약 30 mTorr 내지 약 1000 mTorr, 예를 들어, 약 100 내지 500 mTorr 또는 약 200 내지 300 mTorr일 수도 있다. 이 고압 플라즈마는 고 농도의 불활성 종 (예를 들어, He, Ne, Ar, Kr, Xe, 또는 이들의 조합들) 및 저 농도의 반응성 종 (예를 들어, F-함유 종, Cl-함유 종, Br-함유 종, O-함유 종) 을 포함한다. 불활성 가스들은 통상적으로 표준 플라즈마 조건에서 다른 분자 가스들보다 많은 이온들을 생성한다. 또한, 고 농도의 불활성 종은 과반수의 이온들 (예를 들어, > 99 %) 이 비반응성이고, 그리고 반응성 이온 밀도가 무시해도 될 정도임을 보장할 수 있다.
고압 플라즈마 내에 있는 동안, 반응성 종으로부터의 라디칼들 또는 화학적으로 반응성 분자들은 타깃 재료 상의 충분한 화학 흡착을 위해 여전히 충분하다. 화학물질은 표면 상에 화학 흡착을 갖지만, 이온 보조 없이 자연 발생적인 에칭을 위해 충분한 반응도를 갖지 않도록 선택된다. (불활성 종의) 이온 에너지는, 화학적으로 반응성 종의 흡착 후 표면을 활성화시키기에 충분히 높지만, 순환적 ALE의 스퍼터링과 유사한 물리적 스퍼터링에 충분하지 않을 값으로 설정된다. 소스 전력 및 바이어스 전력이 연속적으로 온이도록 플라즈마가 연속적으로 전달된다. 에칭은 불활성 이온들이 동일한 사이트에서 화학 흡착된, 개질된 층과 만날 때 에칭이 발생하기 때문에 자기-제한적이다. 프로세스 조건들은 표면 단독 상의 불활성 이온들 또는 화학 흡착된 개질된 재료가 재료를 에칭하기에 불충분하도록 선택된다. 다양한 실시예들에서, 에칭은 이방성으로 수행된다. 이방성 에칭은 바이어스를 사용하여 기판으로 전달된 불활성 이온들의 지향성에 기인하여 달성될 수도 있다. 다양한 실시예들에서, 에칭은 또한 선택적이다.
도 2a 및 도 2b는 개시된 실시예들에 따른 다양한 동작들을 겪은 피처들을 가진 기판의 예시적인 개략적인 예시를 제공한다. 도 2는 오버레이된 (overlaying) 마스크 (207) 를 사용하여 에칭될 타깃 재료 층 (205) 및 하층 (201) 을 포함한 기판을 도시한다. 연속적인 플라즈마가 흐르고, 타깃 재료를 개질하도록 선택된 반응성 종의 플라즈마 및 자기-제한 반응들에서 개질된 재료를 제거하기 위한 불활성 이온들의 플라즈마 양자에 기판을 노출시킨다. 불활성 이온들을 사용하여 반응성 종에 의해 개질된 재료의 층을 제거하기 위한 에너지 문턱값은 불활성 이온들을 사용하여 기판 상의 재료를 스퍼터링하기 위한 에너지 문턱값 미만이다. 불활성 이온들을 사용하여 반응성 종에 의해 개질된 재료의 층을 제거하기 위한 에너지 문턱값은 불활성 이온들이 타깃 층 위의 마스크 층의 표면 상에 충격을 가하거나 스퍼터링하도록 충분한 에너지를 가져서, 마스크 재료의 물리적인 제거를 발생시키는 에너지 문턱값 미만이다.
잘 제어된 에칭 프런트는, 기판이 반응성 라디칼들에 의해 개질되고 그리고 불활성 이온들에 의해 제거될 때 재료가 에칭되지만, 재료가 반응성 라디칼들에 의해 개질되지만 불활성 이온들이 존재하지 않을 때, 또는 불활성 이온들이 존재하지만 재료가 반응성 라디칼들에 의해 개질되지 않을 때, 재료가 에칭되지 않도록, 에칭을 수행하기 위해 반응성 라디칼들과 불활성 이온들의 시너지 효과를 허용한다. 프로세스는 기판 상에서 재료를 에칭하도록 사용된 화학물질들 간의 교번 없이 제어된, 자기-제한 이방성 에칭을 허용한다. 결과로 발생한 기판은 도 2b에 도시된다. 마스크 (207) 는 마스크 (207) 의 측벽들 (207a) 이 여전히 수직이도록 마스크 손실 없이 우수한 프로파일을 유지한다는 것을 주의하라. 부가적으로, 에칭된 타깃 층 (215) 은 실질적으로 수직 측벽들 (215a) 을 갖는다.
본 명세서에 기술된 프로세스들은 예를 들어 도 3의 프로세스 플로우에 도시된, 다음의 기술된 동작들을 수반할 수도 있다. 일 동작 (301) 에서, 반응성 종은 기판 상에 에칭될 재료의 표면 상에 화학 흡착될 수도 있다. 반응성 종은 플라즈마에 의해 생성된 반응성 라디칼들 또는 다른 화학물질로 구성될 수도 있고 그리고 에칭될 재료의 타입에 따라 결정된다. 개시된 실시예들을 사용하여 에칭될 재료들의 타입들은 탄소-함유 재료, 실리콘-함유 재료, 및 금속-함유 재료들을 포함한다. 개시된 실시예들을 사용하여 에칭될 수도 있는 탄소-함유 재료의 일 예는 비정질 탄소이다. 개시된 실시예들을 사용하여 에칭될 수도 있는 실리콘-함유 재료들의 예들은 실리콘, 폴리실리콘, 실리콘-게르마늄, 실리콘 옥사이드, 실리콘 카바이드, 실리콘-나이트라이드, 도핑된 실리콘 카바이드, 도핑된 실리콘, 및 이들의 조합들을 포함한다. 개시된 실시예들을 사용하여 에칭될 수도 있는 금속-함유 재료들의 예들은 원소 금속들, 예컨대, 텅스텐 및 티타늄, 금속 옥사이드들, 예컨대, 티타늄 옥사이드, 및 금속 나이트라이드들을 포함한다.
예를 들어, 탄소-함유 재료를 에칭하기 위해, 반응성 종은 산소-함유 플라즈마, 불소-함유 플라즈마, 염소-함유 플라즈마, 브롬-함유 플라즈마, 또는 이들의 조합들을 포함할 수도 있다. 예들은 Cl2 및 HBr을 포함한다. 예를 들어, 일부 실시예들에서, 탄소계 재료들은 약 50 ℃ 미만, 예컨대, 약 20 ℃의 온도로 개시된 실시예들을 사용하여 에칭될 수도 있다.
실리콘-게르마늄 또는 폴리실리콘과 같이 주로 실리콘인 재료를 에칭하기 위해, 반응성 종은 불소-함유 플라즈마, 염소-함유 플라즈마, 브롬-함유 플라즈마, 또는 이들의 조합들을 포함할 수도 있다. 예들은 Cl2 및 HBr을 포함한다. 실리콘 옥사이드를 에칭하기 위해, 반응성 종은 CxFy (여기서 x 및 y는 정수들임), 또는 CxHyFz (여기서 x, y, 및 z는 에칭될 재료에 따라 선택된 정수들임) 와 같은 플루오로카본들을 포함할 수도 있다. 예를 들어, 일부 실시예들에서, 실리콘계 재료들은 약 40 ℃와 같은 약 100 ℃ 미만의 온도로 개시된 실시예들을 사용하여 에칭될 수도 있다.
일부 실시예들에서, 반응성 종은 기판 상의 금속계 재료를 에칭하도록 사용될 수도 있다. 티타늄 옥사이드와 같은 금속 옥사이드인 재료를 에칭하기 위해, 반응성 종은 할로겐-함유 플라즈마, 예컨대, 불소-함유 플라즈마, 브롬-함유 플라즈마, 및 염소-함유 플라즈마를 포함할 수도 있다. 염소-함유 플라즈마를 사용하여 금속 옥사이드를 에칭하는 일 예는 기판을 Cl2와 같은 가스에 노출시키는 것, 및 플라즈마를 점화하는 것을 수반한다. 금속 옥사이드들을 에칭하기 위한 방법들은 방법들이 실리콘-함유 재료 또는 탄소-함유 재료를 에칭하기 위해 수행되는 온도보다 고온으로 수행될 수도 있다. 예를 들어, 일부 실시예들에서, 금속계 재료들, 예를 들어, 금속 옥사이드들은 약 120 ℃와 같은, 약 80 ℃ 초과의 온도에서 개시된 실시예들을 사용하여 에칭될 수도 있다. 본 명세서에서 언급된 바와 같은 기판 온도 또는 온도는 기판을 홀딩하는 페데스탈이 설정될 수도 있는 온도를 나타낸다는 것이 이해될 것이다.
에칭될 재료의 표면 상에서 화학 흡착하기 위해 선택된 반응성 종은 기판 상의 재료를 자연 발생적으로 에칭하지 않는다. 다양한 실시예들에서, 반응성 종은 기판의 표면 상의 임의의 마스크 또는 패턴을 또한 에칭하지 않도록 선택된다. 에너자이징된 (energized) 불활성 이온이 화학 흡착되거나 개질된 층에 도달할 때, 그러면 화학 흡착된 층은 표면을 활성화시키도록 그리고 비휘발성 부산물을 형성하도록 충분한 에너지를 획득하고, 이어서 비휘발성 부산물은 기판이 에칭 동작들 동안 하우징되는 챔버로부터 제거될 수도 있다.
일부 실시예들에서, 기판이 반응성 종에 노출되는 동안, 기판은 또한 불활성 이온들에 노출된다 (303). 다양한 실시예들에서, 불활성 이온들은 반응성 종의 존재를 희석시키도록 그리고 자기-제한 에칭 프로세스를 유지하도록 고 농도로 기판을 하우징하는 챔버로 흐를 수도 있다. 불활성 이온들은 고 이온화 레이트를 가질 수도 있다. 예들은 He, Ne, Ar, Kr, Xe, 또는 이들의 조합들로부터 생성된 이온들을 포함한다. 일부 실시예들에서, 반응성 종에 대한 불활성 이온들의 비는 약 30 mTorr 내지 약 1000 mTorr와 같은, 고압에서 동작함으로써 제어된다. 고압에서 동작하는 것은 불활성 이온들로부터의 기판에 대한 손상을 방지하도록 불활성 이온들의 전체 이온 밀도를 억제하는 동안 반응성 종으로부터 생성된 충분한 반응성 분자들 및 라디칼들을 보장한다. 다양한 실시예들에서, 바이어스 전압은 불활성 이온이 재료를 에칭하는 이온 에너지가 화학 흡착된 층의 재료를 제거하도록 사용된 활성화 에너지보다 크도록 설정되고, 반면에 에너지들 양자는 도 1에 대해 상기에 기술된 바와 같이, 불활성 이온들을 사용하는, 타깃 재료의 물리적 스퍼터링 에너지보다 낮다.
특정한 이론에 매이지 않고, 에칭될 재료의 표면 상의 반응성 종은 사이트를 발견할 수도 있고 그리고 몇몇의 원자 층들까지 표면에 의해 흡수될 수도 있다고 여겨진다. 흡착된 층은 이온이 국부적 사이트를 활성화시킬 때까지 표면 에너지를 극복하도록 충분한 에너지를 갖지 않는다 (예를 들어, 흡착된 층은 기판으로부터 에칭되지 않을 것임). 일단 불활성 이온이 국부적 사이트를 활성화시킨다면, 활성화된 사이트는 비휘발성 부산물을 형성하고, 이어서 비휘발성 부산물은 챔버 내로 확산될 수도 있고 펌핑 아웃될 수도 있다. 그러나, 이온이 흡수된 반응성 화학물질들을 갖지 않는 표면에 도달한다면, 이온은 기판을 물리적으로 스퍼터링하도록 충분한 에너지를 갖지 않을 것이고, 따라서 기판에 대한 손상이 방지된다. 그러므로 에칭은 연속적인 방식으로 수행될 수도 있지만, 여전히 에칭 프로파일을 제어하도록 자기-제한된 반응들을 유지한다. 에칭 동안, 반응성 이온 밀도는 매우 저 밀도 (즉, 총 이온 밀도의 약 1 % 미만) 로 유지되고 그리고 플라즈마 에너지도 또한 매우 낮다. 따라서 에칭 거동은 불활성 이온들에 의해 지배될 수도 있고, 표면이 반응성 종에 의해 개질될 때 불활성 이온들로 하여금 개질된 표면을 활성화시키고 재료를 에칭하게 한다.
개시된 실시예들의 일 장점은 가스들이 (순환적 ALE에서 수행되는 바와 같이) 가스들 사이의 스위칭 없이 연속적으로 흐르기 때문에 쓰루풋 효율의 증가가 있다는 것이다. 또 다른 장점은 개시된 실시예들이 바이어스 전압, 플라즈마 전력, 플라즈마 주파수, 가스 플로우 레이트 및 농도, 및 챔버 압력과 같은, 몇몇의 플라즈마 파라미터들에 의해 쉽게 제어될 수 있다는 것이다. 개시된 실시예들은 저 손상 및 고 선택도 에칭을 달성하도록 사용될 수도 있는 신속하고 잘 제어된 플라즈마를 생성한다.
게다가, 라디칼 확산이 에칭 레이트, 종횡비 의존 에칭 또는 쓰루-피치 로딩에 대한 주요 요인인, 고 종횡비 에칭과 같은, 특정한 상황들이 있다. 이들 애플리케이션들에 대해, 일부 실시예들은 보다 고압의 바이어스 펄싱과 저 반응성 농도 플라즈마를 결합할 수도 있다.
예를 들어, 고 종횡비 피처들을 에칭하기 위해, 화학 흡착을 위한 저 반응성 이온 농도를 가진 가스 혼합물이 에칭 챔버 내에 유지될 수 있다. 프로세스 조건들은 가스 확산을 위한 충분한 시간 (마이크로초 내지 초 범위일 수도 있음) 을 보장하도록 제어된다. 바이어스 전압은 확산 시간이 화학 흡착 층을 형성하도록 고 종횡비 피처들의 하단에 도달하기에 충분한 후에만 온일 수도 있다. 짧은 전압 펄스는 이 층을 제거하도록 이온들을 전달하기 위해 사용될 수도 있고, 그리고 확산-제거 사이클들은 고 종횡비 에칭을 위해 여러번 반복될 수도 있다. 이 개시의 제거 에너지 문턱값 기법들에 따라, 화학 흡착 층들만이 이온들에 의해 제거될 수 있도록 이온 에너지가 낮기 때문에, 종래의 에칭에서, 반응성 이온 에칭이 지배적이고 보통 보다 고 이온 에너지가 바람직한 동안, 바이어스 펄싱을 가진 개시된 실시예들을 수행하는 것은, 고 전압들을 가진 종래의 에칭과 비교할 때, 선택도의 상당한 개선을 발생시킨다.
개시된 가스 혼합 개념은 보다 복잡한 에칭 메커니즘들 및 화학물질들로 확장될 수 있다. 예를 들어, 일부 CxFy 또는 CxHyFz 에칭 화학물질 또는 에천트 및 증착 종 양자를 함유한 화학물질은 타깃 재료를 에칭하도록 약간의 활성화 에너지를 수반할 것이다. 반응성 이온들의 고 전압은 에칭 레이트에 도움이 되지만, 고 전압은 또한 기판에 보다 많은 손상을 유발하고, 마스크 선택도를 감소시키고, 그리고 때때로 이온 스캐터링에 의한 측벽 부식 (attack) 을 유발한다. 그러나, 저 에너지를 가진 불활성 이온들은, 이온 에너지가 스퍼터링 문턱값 이하일 때 이온 손상이 발생하지 않기 때문에 중요한 과제들의 일부를 극복할 수 있다. 그리고 이온 스캐터링이 발생한다면, 일부 에너지는 보통 손실되고 그리고 측벽 표면을 더 이상 활성화시킬 수도 없다. 마스크 재료에 대한 무한한 선택도를 가진, 개시된 실시예들을 사용하는 이방성 에칭이 가능하다. 이것은 FinFET 구조체들, 로직 게이트들, 및 3D NAND 구조체들의 제조와 같은, 많은 다른 패터닝 및 게이트 에칭 애플리케이션들과 함께, 더블 패터닝 애플리케이션들에서 코어 에칭에 적용될 수 있다.
장치
개시된 실시예들은 캘리포니아 프리몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo® FX와 같은 임의의 적합한 에칭 챔버 또는 장치에서 수행될 수도 있다. 일부 실시예들에서, ICP (inductively coupled plasma) 반응기가 사용될 수도 있다. 이러한 ICP 반응기들은 또한 본 명세서에 기술된 기법들의 구현예를 위해 적합한 ICP 반응기를 기술할 목적을 위해 참조로 본 명세서에 인용되는, 2013년 12월 10일 출원되고, 발명의 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공보 제 2014/0170853 호에 기술된다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다. 예시적인 에칭 챔버 또는 장치는, 본 명세서에 기술된 바와 같이, 챔버 벽들을 가진 챔버, 웨이퍼를 척킹하고 디척킹하기 위한 정전 전극들을 포함할 수도 있고 그리고 RF 전력 공급부를 사용하여 전기적으로 대전될 수도 있는, 프로세싱될 기판 또는 웨이퍼를 홀딩하기 위한 척, 플라즈마를 생성하도록 코일에 전력을 공급하기 위해 구성된 RF 전력 공급부, 및 가스들을 유입하기 위한 가스 플로우 유입부들을 포함할 수도 있다. 일부 실시예들에서, 장치는 2 개 이상의 챔버를 포함할 수도 있고, 챔버 각각은 기판들을 에칭하거나, 증착하거나, 프로세싱하도록 사용될 수도 있다. 챔버 또는 장치는 챔버 압력, 불활성 가스 플로우, 플라즈마 전력, 플라즈마 주파수, 반응성 가스 플로우 (예를 들어, 염소-함유 가스, 산소-함유 가스, 불소-함유 가스, 등); 바이어스 전력, 온도, 진공 설정사항들; 및 다른 프로세스 조건들을 조절하는 것과 같이 챔버 또는 장치의 동작들의 일부 또는 전부를 제어하기 위한 시스템 제어기를 포함할 수도 있다.
장치
특정한 실시예들에서, 원자층 에칭 (ALE) 동작들에 적합할 수도 있는 ICP (inductively coupled plasma) 반응기들이 이제 기술된다. 이러한 ICP 반응기들은 또한 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2013년 12월 10일 출원되고, 발명의 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 미국 특허 출원 공보 제 2014/0170853 호에 기술된다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 4는 본 명세서의 특정한 실시예들을 구현하기 위해 적합한 유도 결합 플라즈마 통합된 에칭 및 증착 장치 (400) 의 단면도를 개략적으로 도시하고, 그 예는 캘리포니아, 프리몬트 소재의 Lam Research Corp.에 의해 생산된 Kiyo™®이다. 유도 결합 플라즈마 장치 (400) 는 챔버 벽들 (401) 및 윈도우 (411) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (424) 를 포함한다. 챔버 벽들 (401) 은 스테인리스 강 또는 알루미늄으로 제조될 수도 있다. 윈도우 (411) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (450) 는 전체 프로세스 챔버 (424) 를 상부 서브-챔버 (402) 및 하부 서브-챔버 (403) 로 분할한다. 대부분의 실시예들에서, 플라즈마 그리드 (450) 는 제거될 수도 있어서, 서브-챔버들 (402 및 403) 로 이루어진 챔버 공간을 활용한다. 척 (417) 은 하단 내측 표면 근방의 하부 서브-챔버 (403) 내에 위치된다. 척 (417) 은 반도체 기판 또는 웨이퍼 (419) 를 수용 및 홀딩하도록 구성되고, 반도체 기판 또는 웨이퍼 위에서 에칭 및 증착 프로세스들이 수행된다. 척 (417) 은 존재한다면 웨이퍼 (419) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (417) 을 둘러싸고, 그리고 웨이퍼 (419) 가 척 (417) 위에 존재한다면 웨이퍼 (419) 의 상단 표면과 거의 편평한 상부 표면을 갖는다. 척 (417) 은 또한 웨이퍼 (419) 를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 웨이퍼 (419) 를 척 (417) 으로부터 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (417) 은 RF 전력 공급부 (423) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (423) 는 연결부 (427) 를 통해 매칭 회로 (421) 에 연결된다. 매칭 회로 (421) 는 연결부 (425) 를 통해 척 (417) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (423) 가 척 (417) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (411) 위에 위치된 코일 (433) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (433) 은 전기적으로 도전성 재료로 제조되고 그리고 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 4에 도시된 코일 (433) 의 예는 3개의 턴들을 포함한다. 코일 (433) 의 단면들이 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하며 연장하는 반면, "
Figure pat00001
"를 갖는 코일들은 페이지로부터 회전하며 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (433) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (441) 를 포함한다. 일반적으로, RF 전력 공급부 (441) 는 연결부 (445) 를 통해 매칭 회로 (439) 에 연결된다. 매칭 회로 (439) 는 연결부 (443) 를 통해 코일 (433) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (441) 는 코일 (433) 에 연결된다. 선택 가능한 Faraday 차폐부 (449) 는 코일 (433) 과 윈도우 (411) 사이에 위치된다. Faraday 차폐부 (449) 는 코일 (433) 에 대해 이격된 관계로 유지된다. Faraday 차폐부 (449) 는 윈도우 (411) 바로 위에 배치된다. 코일 (433), Faraday 차폐부 (449), 및 윈도우 (411) 는 각각 서로에 실질적으로 평행하도록 구성된다. Faraday 차폐부는 프로세스 챔버 (424) 의 윈도우 (411) 상에 금속 또는 다른 종이 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어, 반응성 종 또는 전구체들, 환원제들, 캐리어 가스들, 할로겐-함유 가스들, 염소, 불활성 가스들, 예컨대, 헬륨, 아르곤, 등) 은 프로세스 챔버 내로 서브-상부 챔버 (402) 내에 위치된 하나 이상의 주요 가스 플로우 유입부들 (460) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (470) 을 통해 흐를 수도 있다. 마찬가지로, 명확하게 도시되지 않았지만, 유사한 가스 플로우 유입부들은 프로세스 가스들을 용량 결합 플라즈마 프로세싱 챔버로 공급하도록 사용될 수도 있다. 진공 펌프 (440), 예를 들어, 1 또는 2 단계 기계식 건조 펌프 및/또는 터보분자 펌프가 프로세스 챔버 (424) 로부터 프로세스 가스들을 인출하도록 그리고 프로세스 챔버 (424) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프 (440) 는 ALE의 퍼지 동작 동안 하부 서브-챔버 (403) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관은 진공 펌프에 의해 제공된 진공 환경의 적용을 선택적으로 제어하기 위해서 프로세스 챔버 (424) 에 진공 펌프를 유치적으로 연결하도록 사용될 수도 있다. 이것은 동작 상의 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 행해질 수도 있다. 마찬가지로, 용량 결합 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체적 연결이 또한 채용될 수도 있다.
장치 (400) 의 동작 동안, 하나 이상의 프로세스 가스들이 가스 플로우 유입부들 (460 및/또는 470) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 프로세스 가스는 주요 가스 플로우 유입부 (460) 를 통해서만, 또는 측면 가스 플로우 유입부 (470) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 예를 들어, 보다 복잡한 가스 플로우 유입부들, 하나 이상의 샤워헤드들로 대체될 수도 있다. Faraday 차폐부 (449) 및/또는 선택 가능한 그리드 (450) 는 프로세스 챔버 (424) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (449) 및 선택 가능한 그리드 (450) 중 하나 또는 양자는 프로세스 가스들의 전달을 위한 샤워헤드로서 기능할 수도 있다. 일부 실시예들에서, 액체 기화 및 전달 시스템은 일단 액체 반응물질 또는 전구체가 기화된다면, 기화된 반응물질 또는 전구체가 가스 플로우 유입부 (460 및/또는 470) 를 통해 프로세스 챔버 (424) 내로 도입되도록, 프로세스 챔버 (424) 의 업스트림에 위치될 수도 있다.
무선 주파수 전력은 RF 전류로 하여금 코일 (433) 을 통해 흐르게 하도록 RF 전력 공급부 (441) 로부터 코일 (433) 로 공급된다. 코일 (433) 을 통해 흐르는 RF 전류는 코일 (433) 주위에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (402) 내에 유도 전류를 생성한다. 웨이퍼 (419) 와 다양한 생성된 이온들 및 라디칼들의 물리적 및 화학적 상호작용들은 웨이퍼 상의 피처들을 에칭하고 그리고 웨이퍼 상에 층들을 증착한다.
휘발성 에칭 및/또는 증착 부산물들은 하부 서브-챔버 (403) 로부터 포트 (422) 를 통해 제거될 수도 있다. 본 명세서에 개시된 척 (417) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들로 동작할 수도 있다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 따라 결정될 것이다.
장치 (400) 는 장치 (400) 가 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 장치 (400) 가 타깃 제조 설비 내에 설치될 때 장치 (400) 에 커플링된다. 부가적으로, 장치 (400) 는 로보틱스 (robotics) 로 하여금 통상적인 자동화를 사용하여 장치 (400) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, 시스템 제어기 (430) (하나 이상의 물리 또는 로직 제어기들을 포함할 수도 있음) 는 프로세스 챔버 (424) 의 동작들 중 일부 또는 전부를 제어한다. 시스템 제어기 (430) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 예를 들어, 메모리는 아르곤과 같은 제거 가스의 플로우와 염소-함유 개질 화학물질과 같은 개질 화학물질의 플로우를 교번하기 위한 인스트럭션들, 또는 플라즈마를 점화하거나 바이어스를 인가하기 위한 인스트럭션들을 포함할 수도 있다. 예를 들어, 메모리는 일부 동작들 동안 약 0 V 내지 약 200 V의 전력으로 바이어스를 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 장치 (400) 는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치 (400) 는 최대 약 500 ms, 또는 최대 약 750 ms의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 요인들에 따라 결정될 수도 있다.
일부 실시예들에서, 개시된 실시예들은 증착 스테이션들 중 일 증착 스테이션이 보다 우수한 충진 및 보다 빠른 쓰루풋 능력을 위해 유사한 화학물질을 사용하는 통합된 증착/에칭/증착 프로세스를 허용하도록 ALE 스테이션에 의해 교체될 수 있는 MSSD (Multi-Station-Sequential-Deposition) 챔버 아키텍처 상에 통합될 수 있다.
일부 구현예들에서, 시스템 제어기 (430) 는 상술된 예들의 일부일 수도 있는 시스템의 일부인 시스템 제어기의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "시스템 제어기" (430) 와 통합될 수도 있다. 시스템 제어기 (430) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (430) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (430) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (430) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (430) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 5는 VTM (vacuum transfer module) (538) 과 인터페이싱하는 다양한 모듈들을 가진 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들과 프로세싱 모듈들 사이에서 웨이퍼들을 "이송시키기" 위한 이송 모듈들의 구성은 "클러스터 툴 아키텍처" 시스템으로서 지칭될 수도 있다. 로드록 또는 이송 모듈로서 또한 알려진 에어록 (airlock) (530) 은 다양한 제조 프로세스들을 수행하도록 각각 최적화될 수도 있는, 4개의 프로세싱 모듈들 (520a 내지 520d) 을 가진 VTM (538) 내에 도시된다. 예로서, 프로세싱 모듈들 (520a 내지 520d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스을 수행하도록 구현될 수도 있다. 일부 실시예들에서, 이 개시에 따라, 반응성 종에 의한 개질 및 재료 에칭 및 제거를 위한 불활성 이온들에 대한 노출은 동일한 모듈 내에서 수행된다. 에어록 (530) 및 프로세스 모듈 (520) 은 "스테이션들"로서 지칭될 수도 있다. 스테이션 각각은 VTM (538) 에 스테이션을 인터페이싱하는 패싯 (536) 을 가진다. 패싯 각각 내부에서, 센서들 (1 내지 18) 은 각각의 스테이션들 사이에서 이동될 때 웨이퍼 (526) 의 통과를 검출하도록 사용된다.
로봇 (522) 은 스테이션들 사이에서 웨이퍼 (526) 를 이송한다. 일 실시예에서, 로봇 (522) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (522) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (526) 와 같은 웨이퍼들을 집도록 (pick) 단부 이펙터 (524) 를 가진다. ATM (atmospheric transfer module) (540) 내의 프런트-엔드 로봇 (532) 은, 웨이퍼들 (526) 을 카세트 또는 LPM (Load Port Module) (542) 내의 FOUP (Front Opening Unified Pod) (534) 로부터 에어록 (530) 으로 이송시키도록 사용된다. 프로세스 모듈 (520) 내부의 모듈 중심 (528) 은 웨이퍼 (526) 를 배치하기 위한 일 위치이다. ATM (540) 내의 얼라이너 (544) 는 웨이퍼들을 정렬시키도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (542) 내의 FOUP들 (534) 중 하나 내에 배치된다. 프런트-엔드 로봇 (532) 은 웨이퍼를 FOUP (534) 로부터 얼라이너 (544) 로 이송시키고, 이는 웨이퍼 (526) 가 에칭되거나 프로세싱되기 전에 웨이퍼 (526) 로 하여금 적절하게 중심에 위치되게 한다. 정렬된 후에, 웨이퍼 (526) 는 프런트-엔드 로봇 (532) 에 의해 에어록 (530) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 환경을 매칭하는 능력을 갖기 때문에, 웨이퍼 (526) 는 손상되지 않고 2 개의 압력 환경들 사이를 이동할 수 있다. 에어록 모듈 (530) 로부터, 웨이퍼 (526) 는 로봇 (522) 에 의해 VTM (538) 을 통해 그리고 프로세스 모듈들 (520a 내지 520d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하도록, 로봇 (522) 은 로봇의 암들 각각 상의 단부 이펙터들 (524) 을 사용한다. 일단 웨이퍼 (526) 가 프로세싱된다면, 웨이퍼 (526) 는 로봇 (522) 에 의해 프로세스 모듈들 (520a 내지 520d) 로부터 에어록 모듈 (530) 로 이동된다. 에어록 모듈 (530) 로부터, 웨이퍼 (526) 는 프런트-엔드 로봇 (532) 에 의해 FOUP들 (534) 중 일 FOUP로 또는 얼라이너 (544) 로 이동될 수도 있다.
웨이퍼 이동을 컴퓨터 제어하는 것은 클러스터 아키텍처에 대해 국부적일 수 있거나, 제작 플로어 내 또는 리모트 위치 내에서 클러스터 아키텍처에 대해 외부에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 4에 대해 상기에 기술된 바와 같은 제어기는 도 5의 툴을 사용하여 구현될 수도 있다.
실험
실험 1
도 6은 다양한 불활성 가스들에 대한 이온 밀도 및 압력의 참조 데이터의 플롯을 도시한다. 데이터는 단순 (simple) 불활성 또는 반응성 가스와 함께 에칭 툴 내로 가스들을 상이한 압력들로 흘림으로써 획득되었다. 1500 W의 플라즈마 전력을 사용한, Ar, He, Cl2, HBr, O2, N2 및 CF4의 200 sccm 플로우에 대한 정규화된 이온 밀도 대 압력이 도시된다. 도면에 나타낸 바와 같이, 많은 가스들에 대해 이온 밀도는 고압에 따라 감소되고, 그리고 Ar 또는 He는 다른 분자 가스들보다 훨씬 높은 이온 밀도를 생성한다.
실험 2
실험은 위에 가로 놓인 마스크를 가진 타깃 탄소-함유 층 및 하층을 포함한 기판 상에서 실시되었다. 기판은 200 mTorr의 챔버 압력을 가진 챔버 내에 하우징되었다. 기판은 50 Vb의 바이어스를 인가하는 동안 20 ℃의 온도에서 1500 sccm 헬륨 및 5 sccm O2를 사용하는, 1500 W로 설정된 유도 결합 플라즈마 전력을 사용하여 생성된 플라즈마에 30 초 동안 노출되었다. 프로세스는 탄소 층의 35.73 ㎚를 에칭하였고, 그리고 에칭된 탄소-함유 타깃 층은 실질적으로 수직인 측벽들을 가졌다. 부가적으로, 마스크는 마스크의 측벽들이 수직으로 남아 있게 하도록 마스크 손실 없이 우수한 프로파일을 유지했다.
실험 3
실험은 기판 위에 탄소-함유 층의 티타늄 옥사이드 스페이서들을 포함한 기판 상에서 실시되었다. 기판은 200 mTorr의 챔버 압력을 가진 챔버 내에 하우징되었다. 기판은 50 Vb의 바이어스를 인가하는 동안 120 ℃의 온도에서 1500 sccm 헬륨 및 10 sccm Cl2 혼합물을 사용하는, 1500 W로 설정된 유도 결합 플라즈마 전력을 사용하여 생성된 플라즈마에 100 초 동안 노출되었다. 티타늄 옥사이드 스페이서들은 이 프로세스에서 이방성으로 에칭되었고 그리고 결과로 발생한 기판은 마스크의 측벽들이 수직으로 남아 있고 그리고 언더컷이 관찰되지 않도록 마스크 손실 또는 측벽 에칭 없이 우수한 프로파일을 유지했다.
실험 4
실험은 위에 가로 놓인 포토레지스트 마스크를 가진 실리콘계 기판 상에서 실시되었다. 기판은 250 mTorr의 챔버 압력을 가진 챔버 내에 하우징되었다. 기판은 50 Vb의 바이어스를 인가하는 동안 40 ℃의 온도에서 1500 sccm 헬륨 및 20 sccm CF4를 사용하는, 1000 W로 설정된 유도 결합 플라즈마 전력을 사용하여 생성된 플라즈마에 10 초 동안 노출되었다. 기판 내의 피처들은 이 프로세스에서 이방성으로 에칭되었고 그리고 결과로 발생한 기판은 에칭된 피처들 및 마스크의 측벽들이 수직으로 남아 있고 그리고 언더컷이 관찰되지 않도록 측벽 에칭 없이 우수한 프로파일을 유지했다.
결론
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술되지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적인 것이지 제한적인 것으로 고려되지 않고, 본 실시예들은 본 명세서에 제공된 상세사항들에 제한되지 않는다.

Claims (20)

  1. 기판의 재료를 에칭하는 방법에 있어서,
    상기 방법은,
    자기-제한 반응들을 사용하여 재료를 제거하도록 불활성 이온 가스에 의해 생성된 플라즈마 및 반응성 종에 의해 생성된 플라즈마 양자에 프로세싱 챔버 내의 기판을 노출시키는 단계를 포함하고,
    상기 불활성 이온 가스를 사용하여 상기 반응성 종에 의해 개질된 상기 재료의 층을 제거하기 위한 에너지 문턱값은, 상기 불활성 이온 가스를 사용하여 상기 기판 상에 상기 재료를 스퍼터링하기 위한 에너지 문턱값 미만인, 기판의 재료를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 반응성 종에 대한 상기 기판의 노출은 상기 노출된 기판 재료를 개질하고, 그리고 상기 플라즈마에 의해 생성된 상기 불활성 이온들은 상기 개질된 기판 재료를 제거하고, 이에 따라 상기 기판 재료를 에칭하는, 기판의 재료를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 반응성 종 및 상기 불활성 이온들에 대한 상기 기판의 상기 노출 동안, 소스 전력 및 바이어스 전력 양자가 상기 에칭 동안 연속적으로 온 (on) 이도록 상기 플라즈마가 연속적으로 전달되는, 기판의 재료를 에칭하는 방법.
  4. 제 3 항에 있어서,
    상기 에칭 동안 상기 프로세싱 챔버 내에 존재하는 불활성 가스들의 농도는 상기 챔버 내의 모든 화학 종의 99 % 초과이지만, 상기 반응성 종의 농도는 약 1 % 미만인, 기판의 재료를 에칭하는 방법.
  5. 제 1 항에 있어서,
    마스크 층은 에칭될 상기 기판의 상기 재료 위에 가로 놓이고, 그리고 상기 불활성 이온 가스를 사용하여 상기 반응성 종에 의해 개질된 상기 재료의 층을 제거하기 위한 에너지 문턱값은, 불활성 이온들이 타깃 층 위의 상기 마스크 층의 표면 상에 충격을 가하거나 (bombard) 스퍼터링하도록 충분한 에너지를 갖고, 이에 따라 마스크 재료의 물리적인 제거를 발생시키는 에너지 문턱값 미만인, 기판의 재료를 에칭하는 방법.
  6. 제 1 항에 있어서,
    프로세싱 챔버 압력은 약 30 mTorr 내지 약 1000 mTorr인, 기판의 재료를 에칭하는 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱 챔버 압력은 약 100 mTorr 내지 약 500 mTorr인, 기판의 재료를 에칭하는 방법.
  8. 제 7 항에 있어서,
    상기 프로세싱 챔버 압력은 약 200 mTorr 내지 약 300 mTorr인, 기판의 재료를 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 기판 상의 개질되지 않은 재료를 에칭하도록 반응성인 반응성 이온들의 이온 밀도는 무시해도 될 정도인, 기판의 재료를 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 에칭될 기판의 재료는 탄소계인, 기판의 재료를 에칭하는 방법.
  11. 제 10 항에 있어서,
    상기 에칭될 탄소-함유 재료는 비정질 탄소인, 기판의 재료를 에칭하는 방법.
  12. 제 11 항에 있어서,
    상기 반응성 종은 산소-함유 플라즈마, 불소-함유 플라즈마, 염소-함유 플라즈마, 브롬-함유 플라즈마, 또는 이들의 조합들로 구성된 그룹으로부터 선택된 종인, 기판의 재료를 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 에칭될 기판의 재료는 실리콘계인, 기판의 재료를 에칭하는 방법.
  14. 제 13 항에 있어서,
    상기 에칭될 실리콘-함유 재료는 실리콘, 폴리실리콘, 실리콘-게르마늄, 실리콘 옥사이드, 실리콘 카바이드, 실리콘-나이트라이드, 도핑된 실리콘 카바이드, 도핑된 실리콘, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판의 재료를 에칭하는 방법.
  15. 제 14 항에 있어서,
    상기 반응성 종은 불소-함유 플라즈마, 염소-함유 플라즈마, 브롬-함유 플라즈마, 또는 이들의 조합들로 구성된 그룹으로부터 선택된 종을 포함하는, 기판의 재료를 에칭하는 방법.
  16. 제 1 항에 있어서,
    상기 에칭될 기판의 재료는 금속계인, 기판의 재료를 에칭하는 방법.
  17. 제 16 항에 있어서,
    상기 에칭될 금속-함유 재료는 원소 금속들인 텅스텐 및 티타늄, 금속 옥사이드, 티타늄 옥사이드, 금속 나이트라이드들, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판의 재료를 에칭하는 방법.
  18. 제 17 항에 있어서,
    상기 반응성 종은 불소-함유 플라즈마, 염소-함유 플라즈마, 브롬-함유 플라즈마, 또는 이들의 조합들로 구성된 그룹으로부터 선택된 종을 포함하는, 기판의 재료를 에칭하는 방법.
  19. 제 1 항에 있어서,
    상기 불활성 이온들은 He, Ne, Ar, Kr, Xe, 또는 이들의 조합들로부터 생성된 이온들을 포함하는, 기판의 재료를 에칭하는 방법.
  20. 기판의 재료를 에칭하기 위한 장치에 있어서,
    상기 장치는,
    (a) 하나 이상의 프로세스 챔버들로서, 상기 프로세스 챔버 각각은 척을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    (b) 상기 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입부들;
    (c) 상기 하나 이상의 프로세스 챔버들 중 적어도 하나 내에서 플라즈마를 생성하기 위한 플라즈마 생성기; 및
    (d) 적어도 하나의 프로세서 및 메모리를 가진 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어와 적어도 동작되게 연결되고, 그리고
    상기 메모리는:
    자기-제한 반응들을 사용하여 에칭에 의해 상기 재료를 제거하도록 불활성 이온 가스에 의해 생성된 플라즈마 및 반응성 종에 의해 생성된 플라즈마 양자에 상기 프로세싱 챔버 내의 기판을 노출시키기 위해, 적어도 상기 플로우-제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하고,
    상기 불활성 이온 가스를 사용하여 상기 반응성 종에 의해 개질된 상기 재료의 층을 제거하기 위한 에너지 문턱값은 상기 불활성 이온 가스를 사용하여 상기 기판 상의 상기 재료를 스퍼터링하기 위한 에너지 문턱값 미만이고, 그리고
    상기 반응성 종 및 상기 불활성 이온들에 대한 상기 기판의 상기 노출 동안, 소스 전력 및 바이어스 전력 양자가 상기 에칭 동안 연속적으로 온이도록 상기 플라즈마가 연속적으로 전달되는, 기판의 재료를 에칭하기 위한 장치.
KR1020170014362A 2016-02-05 2017-02-01 연속적인 플라즈마의 원자층 에칭 KR20170093718A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662292115P 2016-02-05 2016-02-05
US62/292,115 2016-02-05
US201662322135P 2016-04-13 2016-04-13
US62/322,135 2016-04-13
US15/421,189 US9991128B2 (en) 2016-02-05 2017-01-31 Atomic layer etching in continuous plasma
US15/421,189 2017-01-31

Publications (1)

Publication Number Publication Date
KR20170093718A true KR20170093718A (ko) 2017-08-16

Family

ID=59496294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170014362A KR20170093718A (ko) 2016-02-05 2017-02-01 연속적인 플라즈마의 원자층 에칭

Country Status (4)

Country Link
US (1) US9991128B2 (ko)
KR (1) KR20170093718A (ko)
CN (1) CN107045977B (ko)
TW (1) TWI726989B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190143727A (ko) * 2018-06-21 2019-12-31 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
KR20210133622A (ko) 2020-04-29 2021-11-08 에이아이벤자민 주식회사 인공지능 기반의 금융서비스 제공방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
JP2019036655A (ja) * 2017-08-18 2019-03-07 ソニーセミコンダクタソリューションズ株式会社 エッチング方法およびエッチング加工装置
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
CN107946311B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
WO2020223152A1 (en) * 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11302545B2 (en) 2020-03-20 2022-04-12 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11675340B2 (en) * 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
CN115020173B (zh) * 2022-08-10 2022-10-28 江苏邑文微电子科技有限公司 电感耦合等离子体刻蚀系统及其刻蚀控制方法
CN117613003B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (ko) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
JP4429605B2 (ja) 2001-05-04 2010-03-10 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
WO2007145679A2 (en) 2006-02-02 2007-12-21 Trustees Of Boston University Planarization of gan by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
EP2240968A1 (en) 2008-02-08 2010-10-20 Illumitex, Inc. System and method for emitter layer shaping
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
WO2012050888A2 (en) 2010-09-28 2012-04-19 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20150092172A (ko) 2012-11-16 2015-08-12 메사추세츠 인스티튜트 오브 테크놀로지 반도체 구조물, 및 리세스 형성 에칭 수법
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102451098B1 (ko) 2015-09-23 2022-10-05 삼성전자주식회사 자기 메모리 장치 및 이의 제조 방법
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190143727A (ko) * 2018-06-21 2019-12-31 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
KR20210133622A (ko) 2020-04-29 2021-11-08 에이아이벤자민 주식회사 인공지능 기반의 금융서비스 제공방법

Also Published As

Publication number Publication date
US9991128B2 (en) 2018-06-05
TW201739951A (zh) 2017-11-16
TWI726989B (zh) 2021-05-11
CN107045977B (zh) 2020-06-30
CN107045977A (zh) 2017-08-15
US20170229311A1 (en) 2017-08-10

Similar Documents

Publication Publication Date Title
US9991128B2 (en) Atomic layer etching in continuous plasma
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US10714354B2 (en) Self limiting lateral atomic layer etch
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9870899B2 (en) Cobalt etch back
US11742212B2 (en) Directional deposition in etch chamber
KR20190089231A (ko) 탄탈룸의 원자층 에칭 (ale)
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
TW201936965A (zh) 針對臨界尺寸控制在單一電漿腔室中的原子層沉積和蝕刻
JP2023517291A (ja) モリブデンの原子層エッチング

Legal Events

Date Code Title Description
E902 Notification of reason for refusal