CN107464747A - 使用ale和选择性沉积蚀刻衬底 - Google Patents

使用ale和选择性沉积蚀刻衬底 Download PDF

Info

Publication number
CN107464747A
CN107464747A CN201710291502.8A CN201710291502A CN107464747A CN 107464747 A CN107464747 A CN 107464747A CN 201710291502 A CN201710291502 A CN 201710291502A CN 107464747 A CN107464747 A CN 107464747A
Authority
CN
China
Prior art keywords
plasma
substrate
carbonaceous material
oxidant
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710291502.8A
Other languages
English (en)
Other versions
CN107464747B (zh
Inventor
萨曼莎·坦
余珍意
理查德·怀斯
内德·莎玛
潘阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202210694944.8A priority Critical patent/CN115241052A/zh
Publication of CN107464747A publication Critical patent/CN107464747A/zh
Application granted granted Critical
Publication of CN107464747B publication Critical patent/CN107464747B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明涉及使用ALE和选择性沉积蚀刻衬底。提供了使用原子层沉积和选择性沉积处理含碳材料的衬底的方法和设备。方法包括将衬底上的含碳材料暴露于氧化剂上并以第一偏置功率点燃第一等离子体以使衬底的表面改性,并以第二偏置功率将改性的表面暴露于惰性等离子体以除去改性表面。方法还涉及将第二含碳材料选择性地沉积到衬底上。可以在不破坏真空的情况下进行ALE和选择性沉积。

Description

使用ALE和选择性沉积蚀刻衬底
技术领域
本发明总体上涉及半导体领域,更具体地涉及使用ALE和选择性沉积蚀 刻衬底。
背景技术
图案化方法对于半导体处理至关重要。特别地,已经探索了极紫 外(EUV)光刻技术,以将光刻技术扩展到其光学极限之外,并取代当前的光 刻方法来图案化小的关键尺寸特征。当前的EUV光刻方法导致可能最终使衬 底无用的差的边缘粗糙度和弱图案化。
发明内容
本发明提供了用于处理半导体衬底的方法和装置。一方面涉及一 种处理衬底的方法,所述方法包括:(a)使包含第一含碳材料的衬底暴露于氧 化剂,并以第一偏置功率点燃第一等离子体,以使所述第一含碳材料的表面 改性;以及(b)使经改性的表面暴露于第二偏置功率下的第二等离子体,并持 续足以在不溅射的情况下除去经改性的所述表面的持续时间。在多种实施方 式中,所述方法还包括(c)将第二含碳材料选择性地沉积在所述衬底上以填充 在所述第一含碳材料上的缝隙。在多种实施方式中,所述方法还包括重复(a)- (c)多个循环。在多种实施方式中,所述第二偏置功率可以介于约30V和约 100V之间。
在一些实施方式中,所述氧化剂是强氧化剂。例如,所述强氧化 剂是氧。在一些实施方式中,所述第一等离子体使用介于约15W和约500W 之间的等离子体功率产生。所述第一偏置功率可以介于约5V和50V之间。
在一些实施方式中,所述氧化剂是弱氧化剂。例如,所述弱氧化 剂可以是二氧化碳、一氧化碳、二氧化硫、一氧化氮、氮和氨中的任何一种 或多种。在一些实施方式中,使用介于约30W和约500W之间的等离子体功 率产生所述第一等离子体。所述第一偏置功率介于约30V和约100V之间。
在多种实施方式中,将所述第二含碳材料选择性沉积在所述衬底 上包括以介于约5V和约15V之间的功率施加自偏置,并且使用介于约30W 至约500W之间的等离子体功率点燃等离子体。在一些实施方式中,将所述 第二含碳材料选择性沉积在所述衬底上还包括引入甲烷。所述将第二含碳材 料选择性沉积在所述衬底上还可以包括引入诸如氮、氦、氩、氢及其组合中 的任何一种或者多种之类的稀释剂。
在多种实施方式中,所述第一含碳材料是光致抗蚀剂、无定形碳 和石墨烯中的任何一种或者多种。在一些实施方式中,所述第一含碳材料是 通过极紫外光刻图案化的光致抗蚀剂。
在一些实施方式中,(c)包括将所述衬底暴露于甲烷以将成层的甲 烷吸附到所述第一含碳材料的所述表面并将所述衬底暴露于第三等离子体。
可以通过引入诸如氦、氢、氮、氩和氖中的任何一种或者多种之 类的惰性气体并点燃等离子体来产生所述第三等离子体。
在多种实施方式中,使包含所述第一含碳材料的所述衬底暴露于 所述氧化剂还包括将所述衬底暴露于稀释惰性气体,所述稀释惰性气体例如 氦、氩、氖、氪和氙中的任何一种或者多种。
在(b)中的所述第二等离子体可以通过引入诸如氢、氦、氮、氩和 氖中的任何一种或者多种之类的惰性气体并点燃等离子体而产生。
在多种实施方式中,所述方法还包括在执行(a)和执行(b)之间清扫 容纳所述衬底的室,以从所述室中除去多余的氧化剂。
在一些实施方式中,所述方法还包括重复(a)和(b)多个循环。
所述衬底可以搁置在设置在介于约0℃和约120℃之间的温度下 的基座上。
另一方面涉及一种用于处理衬底的装置,所述装置包括:一个或 多个处理室,每个处理室包括卡盘;通向所述处理室内的一个或多个气体入 口以及相关的流量控制硬件;和具有存储器和至少一个处理器的控制器,使 得所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器 至少与所述流量控制硬件操作性地连接,并且所述存储器存储用于控制所述 至少一个处理器的计算机可执行指令,以至少通过以下步骤来控制所述流量 控制硬件:(i)将氧化剂引入所述处理室并以第一偏置功率点燃第一等离子 体;以及(ii)引入第一惰性气体并以第二偏置功率点燃第二等离子体,使得(i) 和(ii)在不破坏真空的情况下进行。
在多种实施方式中,所述存储器还包括用于以下操作的指令:(iii) 将含碳前体引入所述处理室以形成吸附到被容纳在所述一个或多个处理室内 的衬底的所述表面的所述含碳前体的吸附层;以及(iv)引入第二惰性气体并点 燃第三等离子体。
在多种实施方式中,所述指令还包括用于在(iii)中引入所述含碳 前体时以介于约5V至约15V之间的功率接通自偏置的指令。
在多种实施方式中,所述指令还包括用于引入选自氮、氦、氩、 氢及其组合中的稀释剂的指令。
在多种实施方式中,所述氧化剂是氧。所述第一偏置功率可以介 于约5V和约50V之间。在多种实施方式中,所述第一等离子体被设置为介 于约15W和500W之间的等离子体功率。
在多种实施方式中,所述氧化剂是二氧化碳、一氧化碳、二氧化 硫、一氧化氮、氮和氨中的任何一种或者多种。在一些实施方式中,所述第 一偏置功率介于约30V和约100V之间。在一些实施方式中,所述第一等离 子体被设置为介于约30W和500W之间的等离子体功率
具体而言,本发明的一些方面可以阐述如下:
1.一种处理衬底的方法,所述方法包括:
(a)使包含第一含碳材料的衬底暴露于氧化剂,并以第一偏置功率点燃 第一等离子体,以使所述第一含碳材料的表面改性;以及
(b)使经改性的所述层暴露于第二偏置功率下的第二等离子体,并持续 足以在不溅射的情况下除去经改性的所述表面的持续时间。
2.根据条款1所述的方法,其还包括(c)将第二含碳材料选择性地沉积在 所述衬底上以填充在所述第一含碳材料上的缝隙。
3.根据条款1所述的方法,其中所述第二偏置功率能够介于约30V和约100V之间。
4.根据条款1所述的方法,其中所述氧化剂是强氧化剂。
5.根据条款4所述的方法,其中所述强氧化剂是氧。
6.根据条款4所述的方法,其中所述第一等离子体使用介于约15W和 约500W之间的等离子体功率产生。
7.根据条款4所述的方法,其中所述第一偏置功率介于约5V和50V之 间。
8.根据条款1所述的方法,其中所述氧化剂是弱氧化剂。
9.根据条款8所述的方法,其中所述弱氧化剂选自二氧化碳、一氧化 碳、二氧化硫、一氧化氮、氮和氨。
10.根据条款8所述的方法,其中使用介于约30W和约500W之间的等 离子体功率产生所述第一等离子体。
11.根据条款8所述的方法,其中所述第一偏置功率介于约30V和约 100V之间。
12.根据条款2所述的方法,其中将所述第二含碳材料选择性沉积在所 述衬底上包括以介于约5V和约15V之间的功率施加自偏置,并且使用介于 约30W至约500W之间的等离子体功率点燃等离子体。
13.根据条款12所述的方法,其中将所述第二含碳材料选择性沉积在所 述衬底上还包括引入甲烷。
14.根据条款13所述的方法,其中将所述第二含碳材料选择性沉积在所 述衬底上还包括引入选自氮、氦、氩、氢及其组合中的稀释剂。
15.根据条款1-14中任一项所述的方法,其中所述第一含碳材料选自光 致抗蚀剂、无定形碳和石墨烯。
16.根据条款1-14中任一项所述的方法,其中所述第一含碳材料是通过 极紫外光刻图案化的光致抗蚀剂。
17.根据条款2所述的方法,其中(c)包括将所述衬底暴露于甲烷以将成 层的甲烷吸附到所述第一含碳材料的所述表面并将所述衬底暴露于第三等离 子体。
18.根据条款17所述的方法,其中通过引入选自氦、氢、氮、氩和氖中 的惰性气体并点燃等离子体来产生所述第三等离子体。
19.根据条款1-14中任一项所述的方法,其中使包含所述第一含碳材料 的所述衬底暴露于所述氧化剂还包括将所述衬底暴露于稀释惰性气体,所述 稀释惰性气体选自氦、氩、氖、氪和氙。
20.根据条款1-14中任一项所述的方法,其中(b)中的所述第二等离子体 通过引入选自氢、氦、氮、氩和氖中的惰性气体并点燃等离子体而产生。
21.根据条款1-14中任一项所述的方法,其还包括在执行(a)和执行(b)之 间清扫容纳所述衬底的室,以从所述室中除去多余的氧化剂。
22.根据条款1-14中任一项所述的方法,其还包括循环重复(a)和(b)。
23.根据条款2所述的方法,其还包括以循环重复(a)-(c)。
24.根据条款1-14中任一项所述的方法,其中所述衬底容纳在设置在介 于约0℃和约120℃之间的温度下的基座上。
25.一种用于处理衬底的装置,所述装置包括:
(a)一个或多个处理室,每个处理室包括卡盘;
(b)通向所述处理室内的一个或多个气体入口以及相关的流量控制硬 件;和
(c)具有至少一个处理器和存储器的控制器,其中所述至少一个处理器 和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且
所述存储器存储用于控制所述至少一个处理器的计算机可执行指令,以 至少通过以下步骤来控制所述流量控制硬件:
(i)将氧化剂引入所述处理室并以第一偏置功率点燃第一等离子 体;以及
(ii)引入第一惰性气体并以第二偏置功率点燃第二等离子体,
其中(i)和(ii)在不破坏真空的情况下进行。
26.根据条款25所述的装置,其中所述存储器还包括用于以下操作的指 令:(iii)将含碳前体引入所述处理室以形成吸附到被容纳在所述一个或多个处 理室内的衬底的表面的所述含碳前体的吸附层;以及(iv)引入第二惰性气体并 点燃第三等离子体。
27.根据条款26所述的装置,其中所述指令还包括用于在(iii)中引入所 述含碳前体时以介于约5V至约15V之间的功率接通自偏置的指令。
28.根据条款26所述的装置,其中所述指令还包括用于引入选自氮、 氦、氩、氢及其组合中的稀释剂的指令。
29.根据条款25-28中任一项所述的装置,其中所述氧化剂是氧。
30.根据条款29所述的装置,其中所述第一偏置功率介于约5V和约 50V之间。
31.根据条款29所述的装置,其中所述第一等离子体被设置为介于约 15W和500W之间的等离子体功率。
32.根据条款25-28中任一项所述的装置,其中所述氧化剂选自二氧化 碳、一氧化碳、二氧化硫、一氧化氮、氮和氨。
33.根据条款32所述的装置,其中所述第一偏置功率介于约30V和约 100V之间。
34.根据条款32所述的装置,其中所述第一等离子体被设置为介于约 30W和500W之间的等离子体功率。
下面参照附图进一步描述这些和其他方面。
附图说明
图1是原子层刻蚀衬底上的膜的示例的示意图。
图2是在具有突起的抗蚀剂上进行原子层蚀刻的示例的示意图。
图3是原子层蚀刻期间的除去操作的示例的示意图。
图4是可以根据某些公开的实施方式使用的选择性沉积循环的示 意图。
图5是根据所公开的实施方式执行的操作的处理流程图。
图6是用于执行某些公开的实施方式的示例性处理室的示意图。
图7是用于执行某些公开的实施方式的示例性处理装置的示意 图。
图8A是在实验中使用的衬底的图像。
图8B是来自实验的衬底的图像。
图8C-8E是根据某些公开的实施方式进行的实验的所得衬底的图 像。
图9A-9C是衬底的各种视图。
图10A-10C和11A-11C是来自根据某些公开的实施方式进行的实 验的衬底的各种视图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方 式的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公 开的实施方式。在其他情形下,未详细描述众所周知的处理操作,以避免不 必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实 施方式,但是应理解的是这并非意在限制所公开的实施方式。
薄膜在半导体处理中的图案化在半导体器件的制造和制备中使 用。常规图案化涉及光刻,例如193nm光刻。在光刻中,图案通过将光子从 光子源发射到掩模上来印刷并将图案印刷到光敏性光致抗蚀剂上,由此在光 致抗蚀剂中引起化学反应,从而除去光致抗蚀剂的某些部分以形成图案。随 着器件的缩小,对印刷较小特征的需求增加。虽然已经开发了用于与常规光 刻一起使用的多种图案化技术,但多重图案化使用多层沉积和蚀刻工艺。先 进的半导体集成电路(IC)和其他器件的特征的缩放已经驱动光刻技术来通过 移动到更小的成像源波长来提高分辨率。
已经开发了极紫外(EUV)光刻技术,以便在前沿光刻工具(也被称 为扫描仪)中使用约13.5nm波长的EUV光源在光致抗蚀剂上印刷更小的图 案。虽然下一代EUV在2006年首次预计将支持45nm技术节点制造,但由 于若干生产率问题,这种发展已经长期推迟。EUV生产率的一个挑战因为创 造和聚焦13.5nm光子的固有困难而已经一直在产生足够的功率来执行图案 化。系统吞吐量以及因此总体成本和生产率由晶片上传送的光子的比率与成 像光致抗蚀剂所需的光子比率确定。尽管在过去十年中开发了旨在修改源的 方法,但是方法尚未使用于45nm技术节点的以使得能有效使用EUV技术的 250W的源功率得以实现。用于执行EUV的源功率随着器件缩小由于散粒噪 声和抗蚀剂模糊性而增大,以便在5nm技术节点中执行EUV,使用500W- 1000W的源功率与现有图案化技术具有成本竞争力。
(特别是对于通孔成像)源功率不足导致图案化图像的边缘粗糙度 以及定义的关键尺寸两方面的图案保真度的损失。这除了其他原因之外,还 由于可用于每个通孔成像的光子数量少,每个特征中光子数量以及每个光子 在产生光致酸中的效率的随机变化导致孔尺寸的随机变化(也称为局部关键尺 寸均匀性,或在本文称为“LCDU”)和边缘粗糙度(也称为线边缘粗糙度或在 本文称为“LER”)。
用于图案化用于小型关键尺寸器件的光致抗蚀剂的现有技术包括 使光致抗蚀剂硬化、平滑和从光致抗蚀剂除去残留物的反应离子蚀刻 (“RIE”)工艺。然而,目前的RIE工艺无法解决LER或LCDU。例如,已 由RIE处理的光致抗蚀剂可能还包括特征之间的小起皱物以及 在特征底部上的抗蚀剂浮渣。
本文提供了蚀刻衬底(诸如光致抗蚀剂)以在光刻之后在成像特征 中产生均匀蚀刻且平滑的边缘的方法。这样的技术如本文所述改进了LER和 LCDU。公开的实施方式减少了对使用高源功率来执行EUV应用的需求,从 而提高了EUV扫描器的生产率。公开的实施方式适用于蚀刻衬底以形成诸如 与源极/漏极区域接触的触头、3-D接触孔等之类的结构。
方法涉及原子层蚀刻(ALE)和选择性沉积,以轻缓地蚀刻和平滑 诸如含碳材料之类的材料。可以使用所公开的实施方式蚀刻的示例性的含碳 材料包括光致抗蚀剂(例如在EUV或浸渍中使用的那些)和无定形碳
ALE是使用顺序的自限反应除去材料薄层的技术。一般而言,可 使用任何合适的技术执行ALE。原子层蚀刻技术的示例在2014年11月11日 公告的美国专利No.8,883,028、2014年8月19日公告的美国专利No. 8,808,561和2017年2月21日公告的美国专利No.9,576,811中进行了描述, 这些专利在此通过引用并入本文,以用于描述示例性原子层蚀刻和蚀刻技术 的目的。在多个实施方式中,ALE可以利用等离子体进行,或者可以利用热 方式进行。
ALE可以循环进行。“ALE循环”的构思与本文的多个实施方式的 讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小 的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常, ALE循环包括形成反应层的改性操作,随后是仅除去或蚀刻此改性层的除去 操作。该循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常, 循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操 作:(i)输送反应物气体(吸附),(ii)从室清扫反应物气体,(iii)输送除去气体和 任选的等离子体(解吸),以及(iv)清扫室。
图1示出了ALE循环的两个示例性示意图和选择性聚合物沉积的 示意图。图1中的171a-171e示出了一示例性的ALE循环。在171a中,提供 了衬底。
在多种实施方式中,所述衬底可以是硅晶片,例如,200mm的晶 片、300mm的晶片或450mm的晶片,包括具有一个或多个材料层的晶片, 该材料例如沉积在其上的电介质、导体材料的或半导体材料。在一些实施方 式中,所述衬底包括硅(例如无定形硅)的覆盖层,或锗的覆盖层。所述衬底 可以包括预先沉积并被图案化在衬底上的图案化掩模层。例如,掩模层可沉 积并被图案化在包括非晶硅覆盖层的衬底上。在一些实施方式中,衬底表面 包括光致抗蚀剂、或石墨烯、或无定形碳。
在一些实施方式中,衬底上的层可以被图案化。衬底可以可具有 “特征”,例如通孔或接触孔,其可表征为一个或多个狭窄的和/或内凹的 (re-entrant)开口、特征内收缩部和高深宽比。所述特征可以在一个或多个上述 层中形成。特征的一个示例是半导体衬底或该衬底上的层中的孔或通孔。另 一个示例是衬底或层中的线或空间限定的沟槽。在多个实施方式中,所述特 征可以具有下层,例如阻挡层或粘合层。下层的非限制性实施例包括介电层 和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化 物、金属碳化物和金属层。在一些实施方式中,衬底的表面可以包括多于一 种类型的材料,例如如果衬底被图案化的话。衬底包括至少一种待使用所公 开的实施方式蚀刻和平滑化的材料。该材料可以是上述金属、电介质、半导 体材料等中的任何一种。在各种实施方式中,可以制备这些材料以用于制造 触点、通孔、栅极等。在一些实施方式中,待蚀刻的材料是硬掩模材料,例 如无定形碳。另外的示例性材料包括氮化铝镓、硅、氮化镓,钨和钴。
在171b中,使衬底的表面改性。在171c中,在用以除去多余的 非吸附前体的清扫操作之后,改性层保留。在171d中,改性层被蚀刻。在 171e中,除去改性层。
类似地,图1中的172a-172e示出了用于蚀刻含碳膜的ALE循环 的示例。在172a中,提供了包含含碳材料的衬底,其包含许多碳原子。在多 种实施方式中,衬底包括含碳层,例如光致抗蚀剂或无定形碳层。
在172b中,将氧化剂引入衬底,其修饰衬底的表面。氧化剂可以 是强氧化剂,例如氧(O2),或弱氧化剂,如二氧化碳(CO2)。氧化剂的选择可 能取决于衬底上的含碳材料的类型。例如,在一些实施方式中,强氧化剂可 以是适于蚀刻硬的含碳材料(如无定形碳或石墨烯)的氧化剂。在另一示例 中,在一些实施方式中,弱氧化剂可以是适于蚀刻通过EUV(极紫外)光刻或 浸渍光刻图案化的光致抗蚀剂的氧化剂。
例如,172b中的示意图示出了一些氧化剂被吸附到衬底的表面 上。改性操作形成薄的反应性表面层,其厚度在随后的除去操作中比未改性 材料更容易除去。为了蚀刻含碳材料,可以在改性或吸附操作期间使用含氧 等离子体。含氧等离子体可以通过使含氧改性化学物质(例如氧(O2))或弱氧化 剂(如二氧化碳(CO2))流动并点燃等离子体而产生。其他弱氧化剂包括一氧化 碳(CO)、氮氧化物(NO)和二氧化硫(SO2)。另外的反应物可以包括氮、氢和氨 化合物以及可以与抗蚀剂表面反应地结合并随后使用亚溅射阈值离子轰击挥 发的物质。这些强和弱氧化剂可以单独或组合使用,包括与稀释惰性气体(如 氦(He)、氩(Ar)、氖(Ne)、氪(Kr)、氙(Xe)及其组合)一起使用。该操作使几埃 厚的含碳材料表面改性,以形成比主体含碳材料具有较弱的键能的改性层。 在多种实施方式中,将弱氧化剂作为无偏置或有低偏置的等离子体提供给衬 底。例如,在多种实施方式中,将弱氧化剂引入等离子体处理室,并且打开 等离子体源功率以点燃等离子体,从而促进弱氧化剂吸附到含碳材料的表面 上。该偏压可以以低功率或电压(例如约5V至约15V或高达约50V之间的自 偏置)施加。等离子体功率可设定在约15W至约300W之间的功率。应理解 的是,术语“偏置功率”和“偏置电压”在本文中可互换使用以描述当偏置 施加到基座时基座被设置的电压。如本文所述的偏置功率或偏压以伏特进行 计量,伏特是由单位“V”或“Vb”表示,其中b指偏置。
在172c中,从室清扫弱氧化剂。在172d中,引入含有方向性等 离子体的除去气体氩气,如Ar+等离子体物质和箭头所示,并进行离子轰击 以除去衬底的改性的碳表面。在该操作过程中,将偏置施加在衬底上以吸引 离子朝向它。在解吸操作中,可以使用惰性气体等离子体(例如He、Ar、Xe 或N2)来除去改性层。尽管在172d中描绘了氩气,但是应当理解,可以使用 任何合适的惰性气体来产生用于该操作的等离子体。在多种实施方式中,在 移除期间施加的偏置功率可以介于约30V至约100V之间。可以选择偏置功 率使得提供给衬底的能量小于溅射衬底所需的能量,但大于用于从衬底除去 改性层的能量。等离子体功率可以设定在约30W至约500W之间的功率。
在172e中,清扫室并除去副产物。在多种实施方式中,可以在一 个循环中除去介于约和约之间的材料。如果使用较强的氧化剂,则 蚀刻速率可能会大于使用较弱的氧化剂时的蚀刻速率。例如,对于强氧化剂 如氧气(O2),而惰性等离子体气体可以是Ar,且可以除去约10埃至约30埃 的抗蚀剂材料。在一些实施方式中,如果使用的弱氧化剂是二氧化碳,并且 用于除去改性层的惰性气体等离子体是氦气,则每个循环可以蚀刻介于约2 埃到3埃之间的材料。含碳材料的后蚀刻表面通常在ALE处理后是平滑的。 例如,在一些实施例中,ALE处理之后的表面的均方根粗糙度可以小于约 0.5nm(Rrms<0.5nm)。
图2显示了该操作如何可以减少光致抗蚀剂上的突起的存在。光 致抗蚀剂上的突起的尺寸可以在直径和/或高度上介于约1埃和约30埃之 间。提供具有抗蚀剂材料和突起299的示例性衬底200。弱氧化剂201被提 供并吸附到衬底200上,其使衬底200的表面改性以形成改性的表面202。 然后将改性表面202除去;虚线203示出了先前的含碳材料在衬底200上的 位置,该位置现在产生衬底210。该处理250可以构成一个ALE氧化循环。 处理260示出了具有暴露于弱氧化剂221的突起298的衬底220。弱氧化剂 221吸附到衬底220上,其使衬底220的表面改性以形成改性表面222。弱氧 化剂231吸附到衬底230上以形成改性层(未示出),并且进一步除去改性层以 产生衬底270,其包括虚线275,虚线275示出了先前含碳材料在衬底230上 的位置。
不受特定理论的约束,相信,突起的尺度在原子水平上,使得由 于突起具有表面比体积的更大的比率,因此当含碳材料被吸附到突起的表面 上并且除去了单层或两层突起时,相对于从表面的相邻相对平坦部分移除的 材料,突起的尺寸显著减小。这可能是由于较多的含碳材料被吸附在由突起 提供的较大表面积上。
图3示出了除去操作如何可以改善正被蚀刻的材料的平滑化。惰 性等离子体物质在172d中与低偏置一起使用,使得等离子体物质具有足够的 能量以除去吸附到衬底表面上的碳原子的弱氧化物改性表面,但是没有足够 的能量从衬底的表面溅射掉下面的未改性的碳原子。在多种实施方式中,偏 置可以介于约30V和约100V之间,或小于约50V。在一些实施方式中,改 性层可以是约0.5nm厚,其可以包括约3至4个原子层。在一些实施方式 中,如图3所示,可以在改性层和无定形材料之间存在相界。图3所示的惰 性等离子体物质(例如Ar+)可以是亚阈值的、非反应性离子物质,其中亚阈值 意味着惰性等离子体物质的能量不足以溅射改性层下面的材料,但足够高以 除去改性层。阈值偏置功率或阈值偏置电压是指在基座上的衬底的表面上的 材料被溅射之前施加到基座上的偏置的最大电压。因此,阈值偏置功率部分 地取决于待蚀刻的材料、用于产生等离子体的气体、用于点燃等离子体的等 离子体功率和等离子体频率。在每个循环之后,表面可以“复位”,使得表 面包括要被除去的材料,而在表面上没有许多或者任何改性材料。
2015年9月4日提交的名称为“ALE SMOOTHNESS:IN AND OUTSIDE SEMICONDUCTORINDUSTRY”的美国临时专利申请No. 62/214,813以及于2016年8月31日提交的名称为“ALESMOOTHNESS:IN AND OUTSIDE SEMICONDUCTOR INDUSTRY”的美国专利申请公布No. 2017/0069462中描述了使用ALE技术平滑化衬底的进一步描述,其全部内容 通过引用并入本文。不受特定理论的束缚,相信,通过所公开的实施方式, 由于逐层机制,因而可以使衬底平滑,ALE通过该逐层机制在每个循环期间 蚀刻材料,从而蚀刻和平滑化衬底表面上的突起。例如,要平滑化的材料的 表面上的突起可以在突起的表面上被改性和蚀刻,使得当突起被蚀刻时,突 起的尺寸随着每个蚀刻循环而收缩,从而使材料的表面平滑化。
虽然ALE处理可以平滑侧壁或线边缘粗糙度,但它不能改变CD 变化,例如,线宽或孔/柱直径。为此,使用选择性含碳材料沉积工艺来选择 性地在光致抗蚀剂结构上进行沉积,并且优先地以进入不同尺寸的特征中的 不同沉积速率用含碳材料填充特征。在多种实施方式中,孔或柱的直径在衬 底上是均匀的,并且改善了LCDU。例如,在一些实施方式中,可以使用甲 烷(CH4)。
回到图1,182a-182c示出了根据某些公开的实施方式可以执行的 选择性沉积工艺的示例性示意图。对于选择性聚合物沉积,182a显示具有碳 原子的衬底。在182b中,碳暴露于含碳化学物质,如甲烷(CH4),使得碳材 料选择性地沉积到衬底的表面上。尽管以甲烷为示例,但可以使用其它含碳 化学物质,其可以具有化学式CxHy,其中x和y是大于或等于1的整数。选 择性碳沉积可以以低偏置(例如,自偏置功率=约5V至约15V)和在约30W至 约500W的范围内的低RF等离子体功率进行。在一些实施方式中,含碳化学 物质可以与一种或多种稀释剂组合以产生等离子体。示例性稀释剂包括氮、 氦、氩、氢及其组合。在182c中,清扫室以除去多余的聚合物。聚合物保留 在碳衬底的表面上。
图4显示了选择性聚合物沉积如何可以减少光致抗蚀剂上的裂缝 和突起的存在。在182b期间,含碳化学物质(如甲烷)被输送到衬底并吸附到 衬底上的含碳材料的表面。在存在缝隙(例如图4的光致抗蚀剂衬底400中所 示的缝隙450)的多种实施方式中,使用如本文所述的自限工艺沉积含碳材料 401,以在这些缝隙450中填充含碳材料,从而使表面平滑。如图4所示,选 择性沉积还可以包括在突起(499)上(诸如光致抗蚀剂上)的沉积。不受特定理 论的束缚,相信,由于含碳材料的表面上的裂缝的尺度可以在原子水平上, 因此将含碳材料沉积到这些缝隙中,使得含碳材料均匀地吸附到衬底的表面 将导致在裂缝中比在衬底的相邻的相对平坦的表面上沉积更多的材料,从而 通过每个沉积循环减少缝隙的存在。
在一些实施方式中,在将衬底暴露于含碳化学物质之后,衬底也 可以暴露于惰性等离子体。惰性等离子体可以通过使氢、氦、氮、氩和氖中 的任何一种或多种流过并点燃等离子体来产生。等离子体可以使用约30W至 约500W之间的等离子体功率点燃。不受特定理论的约束,相信将衬底暴露 于惰性等离子体使得在衬底上的含碳材料(例如光致抗蚀剂)的相邻表面被能 被稍微蚀刻和/或刷新以防止沉积,因此导致选择性沉积。向含碳化学和惰性 等离子体的暴露可以在一个或多个循环中进行。
使用如本文所述的ALE技术和选择性沉积的组合,可以处理衬底 上的含碳材料以产生平滑的均匀特征,特别是对于EUV应用。
图5是执行ALE和选择性碳沉积的实施方式的工艺流程图。图5 的操作可以在室压强为介于约5mTorr至约100mTorr之间的室内进行。图5 的操作可以在介于约0℃和约120℃之间或介于约20℃和约60℃之间的衬底 温度进行。衬底温度应被理解为指将保持衬底的基座或晶片保持器处所设定 的温度。图5所示的操作总结了如上参照图1所述执行的操作。例如,在操 作401中,将包括含碳材料的衬底被提供给室。如上所述,含碳材料可以包 括光致抗蚀剂、或石墨烯、或无定形碳。操作401可以对应于图1的171a和 172a中所描绘的示意图。在操作403中,将衬底暴露于诸如强或弱氧化剂之 类的改性化学物质以使衬底的表面改性。在多种公开的实施方式中,表面上 的含碳材料被改性。该操作可以对应于图1和图2的171b和172b所描绘的 示意图。在操作405中,任选地清扫室以从室除去过量的改性化学物质(例如 弱氧化剂,即CO2)。该操作可以对应于图1和图3的172d。室可以通过抽空 室或停止改性化学物质的流动并使诸如氦气或氩气之类的非反应性惰性气体 流过来清扫,以除去过量气相改性化学物质。在操作407中,将衬底暴露于 惰性气体等离子体以除去改性的表面。在操作407期间,施加偏置以产生用 于惰性气体等离子体的足够的能量以除去改性的表面而不溅镀衬底。在操作 409中,任选地吹扫室以从室中除去气相的改性材料。在操作411中,操作 403-409可以任选地循环重复。在操作423中,将衬底暴露于含碳化学物质以将含碳材料层吸附到衬底上。在一些实施方式中,这可以用于填充衬底的含 碳表面上的缝隙。该操作可以对应于图1和图4的182a。在操作424中,衬 底任选地暴露于惰性气体等离子体以钝化衬底的区域并且使得能在随后的循 环中进行选择性沉积。在一些实施方式中,可以在执行操作423和424之间 清扫室。在一些实施方式中,在执行任何所描述的操作之间,可以清扫衬底 一次或多次。在多种实施方式中,操作423和424可以任选地循环重复,并 且可以在具有或者不具有执行操作423和424之间的清扫操作的情况下执行 循环。在操作425中,可以任选地清扫室。应当理解,可以使用任何合适的 清扫技术,通过从室抽排气体、通过使一种或多种惰性气体流过或这两者的 组合来执行如本文所述的吹扫操作。在操作499中,确定衬底是否已经被充 分蚀刻以在衬底上形成所需的表面。如果不是,则可以任选地将操作403-499 重复n个循环,其中n是等于或大于1的整数。在一些实施方式中,操作 423-425仅在一些但不是全部重复的循环中重复,而在一些实施方式中,操作 423-425在每个循环中重复。
通过组合ALE工艺和选择性沉积工艺,光致抗蚀剂特征的LCDU 和LER均得到改善。然后将该改善转移到下面的硬掩模(例如SiO2/SiN层), 并因此转移到感兴趣的结构,从而导致器件的改善的可变性和性能。
ALE操作是温和的和精确的,每个循环除去数字量的材料,因此 可以被容易地控制,以不过度蚀刻柔性抗蚀剂材料。类似地,基于碳的选择 性沉积使用非常低的源功率(例如,变压器耦合等离子体或TCP)并且没有使 用偏置,并且可以执行沉积而不损坏抗蚀剂。
在一些实施方式中,选择性碳沉积可以是任选的。例如,某些这 样的实施方式可以用于可以容忍关键尺寸增加的应用中。
在某些实施方式中,如果在整个使用光致抗蚀剂的图案化工艺中 将保持原始关键尺寸,则可以在含碳材料上使用所公开的ALE操作和选择性 碳沉积的组合以改善LCDU并恢复关键尺寸。
装置
所公开的实施方式可以在任何合适的蚀刻室或装置中进行,例如 在可从美国加利福尼亚州弗里蒙特市的Lam Research Corporation获得的 FX中进行。可以使用等离子体蚀刻室的另一个示例是可从加利福尼亚 州弗里蒙特市的Lam Research Corp.获得的FlexTM反应性离子蚀刻工具。等离 子体蚀刻室的进一步描述可以在美国专利No.6,841,943和No.8,552,334中找 到,其全部内容通过引用并入本文。
在一些实施方式中,可以使用感应耦合等离子体(ICP)反应器。图 6中提供了一个示例。这种ICP反应器也已于在2013年12月10日提交的、 在2016年6月7日授权的、名称为“METHOD FOR FORMING A MASK BY ETCHING CONFORMAL FILM ON PATTERNED ASHABLEHARDMASK,” 的美国专利No.9,362,133中描述,其通过引用并入本文以用于描述用于实施本文描述的技术的合适的ICP反应器。虽然本文描述了ICP反应器,但在一 些实施方式中,应当理解,也可以使用电容耦合等离子体反应器。示例性蚀 刻室或装置可以包括具有室壁的室、用于保持要处理的衬底或晶片的卡盘、 被配置为向线圈供电以产生等离子体的RF电源、以及如本文所述的用于输 入气体的气体流入口,该卡盘可以包括用于夹紧和松开晶片并且可以使用RF 电源充电的静电电极。例如,可以使改性化学气体和/或选择性沉积化学物质 流入蚀刻室,以分别执行ALE和/或选择性沉积。在一些实施方式中,装置 可以包括多于一个的室,每个室可用于蚀刻、沉积或处理衬底。室或装置可 以包括系统控制器,系统控制器用于控制室或装置的一些或全部操作,例如 调节室压力、惰性气体流量、等离子体功率、等离子体频率、反应气体流量 (例如,弱氧化剂气体、含碳气体等);偏置功率、温度、真空设置;以及其 他工艺条件。该室还可以用于将含碳材料选择性地沉积到衬底上。
图6示意性地示出了适于实施本文的某些实施方式的感应耦合等 离子体集成蚀刻和沉积装置600的横截面图,其示例是KiyoTM反应器,由加 利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体装置600包括由室壁601和窗611结构上限定的总处理室601。室壁601可以由不 锈钢或铝制成。窗611可以由石英或其他介电材料制成。任选的内部等离子 体栅格650将总处理室601分为上副室602和下副室603。在大多数实施方式 中,等离子体栅格650可以被移除,从而利用由副室602和603构成的室空 间。卡盘617定位在下副室603中在底部内表面附近。卡盘617被配置成接 收和保持在其上执行蚀刻和沉积工艺的晶片619。卡盘617可以是当晶片619 存在时用于支撑晶片619的静电卡盘。在一些实施方式中,边缘环(未示出)围 绕卡盘617,并具有大致与晶片619(当晶片存在于卡盘617上方时)的顶面在 同一平面的上表面。卡盘617还包括用于夹紧和松开晶片的静电电极。可设 置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统 用于提升晶片619使其离开卡盘617。卡盘617可以用RF功率源623充电。 RF功率源623通过连接件627被连接到匹配电路621。匹配电路621通过连 接件625连接到卡盘617。以这种方式,RF功率源623被连接到卡盘617 上。
用于等离子体产生的元件包括位于窗611上方的线圈633。在一 些实施方式中,所公开的实施方式中未使用线圈。线圈633由导电材料制 成,并包括至少一整匝。在图6中所示的线圈633的示例包括三匝。线圈 633的横截面用符号示出,具有“X”符号的线圈表示线圈633旋转地延伸到页 面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体 产生的元件还包括被配置为提供RF功率至线圈633的RF功率源641。一般 地,RF功率源641通过连接件645被连接到匹配电路639。匹配电路639通 过连接件643连接到线圈633。以这种方式,RF功率源641被连接到线圈 633。任选的法拉第屏蔽件649被定位在线圈633和窗611之间。法拉第屏蔽 件649以相对于线圈633成隔开的关系被保持。法拉第屏蔽件649被设置在 窗611的正上方。线圈633、法拉第屏蔽件649和窗611各自被配置为基本上 彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室601的 介电窗611上。
工艺气体(例如氧气、二氧化碳、甲烷等)可以通过位于上室602 中的一个或多个主气体流入口660和/或通过一个或多个侧气体流入口670流 入处理室601。同样,虽然未明确示出,但是类似的气流入口可用于向电容 耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵 和/或涡轮分子泵640,可用于将工艺气体从处理室601抽出并维持处理室 601内的压强。例如,该泵可用于在ALD清扫操作过程中排空室601。阀控 制的导管可用于使真空泵流体连接在处理室601上,以便选择性地控制由真 空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭 环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也 可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口 660和/或670供给。在某些实施方式中,工艺气体可以仅通过主气体流入口 660供给,或者仅通过侧气体流入口670供给。在一些情况下,在图中所示 的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替 代。法拉第屏蔽件649和/或任选的栅格650可以包括使工艺气体能输送至室 601的内部通道和孔。法拉第屏蔽件649和任选的栅格650中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方式中,液体蒸发和输送系统 可位于室601的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应 物或前体通过气体流入口660和/或670引入到室601中。
射频功率从RF功率源641供给到线圈633以使RF电流流过线圈 633。流过线圈633的RF电流产生围绕线圈633的电磁场。电磁场产生在上 副室602内的感应电流。所生成的各离子和自由基与晶片619的物理和化学 相互作用选择性地在晶片上蚀刻特征和沉积层。
如果使用等离子体栅格使得存在上副室602和下副室603二者, 则感应电流作用于存在于上副室602中的气体上以在上副室602中产生电子- 离子等离子体。任选的内部等离子体栅格650限制下副室603中的热电子的 量。在一些实施方式中,设计和操作所述装置使得存在于下副室603中的等 离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包 含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子:阳离子比 率。挥发性的蚀刻和/或沉积的副产物可通过端口622从下副室603去除。本 文所公开的卡盘617可在约10℃至约250℃之间的升高的温度范围内操作。 该温度将取决于工艺操作和具体配方。
室601当安装在干净的房间或制造厂中时可耦合到设施(未示 出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。 这些设施当安装在目标制造厂时耦合到室601。此外,室601可耦合在传送 室上,从而允许使用典型的自动化由机器人进出室601传送半导体晶片。
在一些实施方式中,系统控制器630(其可包括一个或多个物理或 逻辑控制器)控制处理室的一些或所有操作。系统控制器630可包括一个或多 个存储器器件和一个或多个处理器。在一些实施方式中,所述装置包括当进 行所公开的实施方式时用于控制流速和持续时间的开关系统。在一些实施方 式中,所述装置可具有高达约500ms或高达约750ms的切换时间。切换时间 可取决于流动化学物质、配方选择、反应器的体系结构和其他因素。
处理室601或装置可以包括系统控制器,例如,在一些实施方式 中,系统控制器630是系统的一部分,该系统可以是上述实例的一部分。这 种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个 处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系 统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和 之后的操作的电子器件一体化。电子器件可以称作“控制器”,该控制器可 以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类 型,系统控制器630可以被编程以控制本文公开的任何工艺,包括控制工艺 气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设 置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输 送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系 统连接或通过接口连接的装载锁。
宽泛地讲,控制器630可以被定义为具有接收指令、发布指令、 控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储 器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯 片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或 多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以 各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在 半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实 施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多 个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间 完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器630可以是与系统集成、耦合或者说 是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。 例如,控制器630可以在“云”中或者是fab主机系统的全部或一部分,其可以 允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作 的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能 标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新 的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提 供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输 入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信 到系统。在一些实例中,该控制器接收数据形式的指令,该指令指明在一个 或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对 将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具。因 此,如上所述,该控制器可以例如通过包括一个或多个分立的控制器而分 布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文 所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合 以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计 算机的一部分)通信的室上的一个或多个集成电路。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室 或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘 蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模 块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或 模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使 用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器630可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、 其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另 一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或 装载口搬运的材料搬运中使用的工具通信。
处理室601可以集成在如图7所示的多站式工具中。每个站可以 用于处理不同的操作。例如,可以使用一个站来执行ALE,而另一个站用于 执行选择性沉积。可以在不破坏真空的情况下执行公开的实施方式,并且可 以在相同的装置中执行。在多种实施方式中,ALE和选择性沉积在不破坏真 空的情况下进行。在多种实施方式中,ALE和选择性沉积在相同的室中进 行。
图7描述了半导体工艺集群结构,其中各个模块与真空传送模块 738(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的传送模块的配 置可以被称为“集群工具架构”系统。气密室730(也被称为装载锁或传送模块) 在具有四个处理模块720a-720d的VTM738中示出,四个处理模块720a- 720d可以被单独优化以执行各种制造工艺。例如,处理模块720a-720d可以 被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体 工艺。在一些实施方式中,ALE和选择性沉积在相同的模块中进行。在一些 实施方式中,ALE和选择性沉积在相同工具中的不同模块中进行。衬底蚀刻 处理模块中的一个或多个(720a-720d中的任意一个或多个)可以如本文所公开 的被实施,即,用于执行ALE,选择性沉积含碳材料,以及根据所公开的实 施方式的其它合适的功能。气密室730和处理模块720可以被称为“站”。每 个站具有将站与VTM 738连接的小面736(facet 736)。在每个小面内部,传感 器1-18被用于在衬底726在各站之间移动时检测衬底726的通过。
机械手722将晶片726在各站之间传输。在一个实施方式中,机 械手722具有一个臂,而在另一实施方式中,机械手具有两个臂,其中每个 臂具有端部执行器724以拾取晶片(例如晶片726)以供运输。在大气传送模块 (ATM)740中,前端机械手732用于从在负载端口模块(LPM)742中的晶片盒 或前开式标准盒(FOUP)734传送晶片726到气密室730。处理模块720内的模 块中心728是用于放置晶片726的一个位置。在ATM 740中的对准器744用 于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 742中的多个 FOUP 734中的一个中。前端机械手732将晶片从FOUP 734传送到对准器 744,其允许晶片726在被蚀刻或处理之前适当地居中。对准后,晶片726由 前端机械手732移动到气密室730中。由于气密模块具有匹配ATM和VTM 之间的环境的能力,因此晶片726能够在两种压强环境之间移动而不被破坏。从气密模块730,晶片726通过机械手722移动通过VTM 738并进入处 理模块720a-720d中的一个。为了实现这种晶片移动,机械手722在其每一 个臂上使用端部执行器724。一旦晶片726已被处理,则通过机械手722将 其从处理模块720a-720d移动到气密模块730中。晶片726可以从这里通过 前端机械手732移动到多个FOUP 734中的一个中或到对准器744。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地 的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过 网络连接到集群架构。如上参照图6所述的控制器可以用图7中的工具实 施。
实验
实验1
对含碳光致抗蚀剂进行实验。蚀刻工艺之前的衬底如图8A所 示。
常规的RIE蚀刻是通过将衬底在20℃下暴露于HBr和900W的等 离子体功率持续15秒进行的。所得到的衬底在图8B中。
在另一个试验中,将衬底在60℃暴露于10个循环的ALE。操作 包括暴露于CO2等离子体、清扫、暴露于具有低偏置的氦等离子体和清扫。 所得到的光致抗蚀剂具有平滑的侧壁和减小的粗糙度,并且具有改进的 LER。起皱物减少,光致抗蚀剂的浮渣减少。所得到的衬底在图8C中。
在另一个试验中,将衬底在20℃暴露于10个循环的ALE。操作 包括暴露于CO2等离子体、清扫、暴露于具有低偏置的氦等离子体和清扫。 得到的衬底如图8D所示。
在另一个试验中,将衬底在60℃暴露于10个循环的ALE。这些 操作包括暴露于CO2等离子体、清扫、暴露于具有低偏置的氦等离子体和清 扫。所得到的衬底如图8E所示。
执行ALE导致衬底具有明显更平滑的线条。这些结果表明ALE 可以在20℃下进行。
实验2
进行实验,其中光致抗蚀剂的ALE进行3个循环,以及进行5个 循环。没有ALE的衬底如图9A-9C所示。
将衬底暴露于三个周期的ALE操作,其包括暴露于CO2等离子 体、清扫、暴露于具有低偏置的氦等离子体和清扫。3个循环后的衬底如图 10A-10C所示。
将衬底暴露于5个循环的ALE操作,其包括暴露于CO2等离子 体、清扫、暴露于具有低偏置的氦等离子体和清扫。5个循环后的衬底如图 11A-11C所示。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方 式,但是显而易见的是,可在所公开的实施方式的范围内实施某些变化和修 改。应当注意的是,具有实施本发明实施方式的工艺、系统和装置的许多替 代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并 且所述实施方式并不限于本文所给出的细节。

Claims (13)

1.一种处理衬底的方法,所述方法包括:
(a)使包含第一含碳材料的衬底暴露于氧化剂,并以第一偏置功率点燃第一等离子体,以使所述第一含碳材料的表面改性;以及
(b)使经改性的所述层暴露于第二偏置功率下的第二等离子体,并持续足以在不溅射的情况下除去经改性的所述表面的持续时间。
2.根据权利要求1所述的方法,其还包括(c)将第二含碳材料选择性地沉积在所述衬底上以填充在所述第一含碳材料上的缝隙。
3.根据权利要求1所述的方法,其中所述第二偏置功率能够介于约30V和约100V之间。
4.根据权利要求1所述的方法,其中所述氧化剂是强氧化剂。
5.根据权利要求4所述的方法,其中所述强氧化剂是氧。
6.根据权利要求4所述的方法,其中所述第一等离子体使用介于约15W和约500W之间的等离子体功率产生。
7.根据权利要求4所述的方法,其中所述第一偏置功率介于约5V和50V之间。
8.根据权利要求1所述的方法,其中所述氧化剂是弱氧化剂。
9.根据权利要求8所述的方法,其中所述弱氧化剂选自二氧化碳、一氧化碳、二氧化硫、一氧化氮、氮和氨。
10.根据权利要求8所述的方法,其中使用介于约30W和约500W之间的等离子体功率产生所述第一等离子体。
11.根据权利要求8所述的方法,其中所述第一偏置功率介于约30V和约100V之间。
12.根据权利要求2所述的方法,其中将所述第二含碳材料选择性沉积在所述衬底上包括以介于约5V和约15V之间的功率施加自偏置,并且使用介于约30W至约500W之间的等离子体功率点燃等离子体。
13.一种用于处理衬底的装置,所述装置包括:
(a)一个或多个处理室,每个处理室包括卡盘;
(b)通向所述处理室内的一个或多个气体入口以及相关的流量控制硬件;和
(c)具有至少一个处理器和存储器的控制器,其中所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且
所述存储器存储用于控制所述至少一个处理器的计算机可执行指令,以至少通过以下步骤来控制所述流量控制硬件:
(i)将氧化剂引入所述处理室并以第一偏置功率点燃第一等离子体;以及
(ii)引入第一惰性气体并以第二偏置功率点燃第二等离子体,
其中(i)和(ii)在不破坏真空的情况下进行。
CN201710291502.8A 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底 Active CN107464747B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210694944.8A CN115241052A (zh) 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662329916P 2016-04-29 2016-04-29
US62/329,916 2016-04-29
US15/494,245 2017-04-21
US15/494,245 US10269566B2 (en) 2016-04-29 2017-04-21 Etching substrates using ale and selective deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210694944.8A Division CN115241052A (zh) 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底

Publications (2)

Publication Number Publication Date
CN107464747A true CN107464747A (zh) 2017-12-12
CN107464747B CN107464747B (zh) 2022-07-12

Family

ID=60156907

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710291502.8A Active CN107464747B (zh) 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底
CN202210694944.8A Pending CN115241052A (zh) 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210694944.8A Pending CN115241052A (zh) 2016-04-29 2017-04-28 使用ale和选择性沉积蚀刻衬底

Country Status (6)

Country Link
US (2) US10269566B2 (zh)
JP (1) JP7058080B2 (zh)
KR (2) KR102504770B1 (zh)
CN (2) CN107464747B (zh)
SG (1) SG10201703480QA (zh)
TW (1) TWI725177B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110718440A (zh) * 2019-10-16 2020-01-21 北京北方华创微电子装备有限公司 原子层刻蚀设备及刻蚀方法
CN110783190A (zh) * 2018-07-27 2020-02-11 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN113574628A (zh) * 2019-03-14 2021-10-29 朗姆研究公司 用于高深宽比蚀刻的等离子体蚀刻工具

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN107078048B (zh) 2014-10-17 2021-08-13 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US10573527B2 (en) * 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11120997B2 (en) 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111436219B (zh) * 2018-11-14 2023-09-19 株式会社日立高新技术 等离子处理装置以及利用其的被处理样品的处理方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
US10790157B1 (en) * 2019-07-16 2020-09-29 University Of Maryland, College Park Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
WO2021236359A1 (en) * 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11515163B2 (en) * 2021-01-06 2022-11-29 Applied Materials, Inc. Low temperature graphene growth
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102626118B1 (ko) 2023-09-12 2024-01-17 에이피티씨 주식회사 셀로우 에칭 프로세스 챔버

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010206051A (ja) * 2009-03-05 2010-09-16 Tokyo Electron Ltd 基板処理方法
JP2014532988A (ja) * 2011-10-27 2014-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) * 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
KR101380835B1 (ko) * 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US9165761B2 (en) * 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JP6035117B2 (ja) * 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9437425B2 (en) * 2013-01-11 2016-09-06 Solan, LLC Methods for integrating lead and graphene growth and devices formed therefrom
JP6242095B2 (ja) * 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102394994B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
JP5846335B1 (ja) 2014-03-26 2016-01-20 東レ株式会社 半導体装置の製造方法及び半導体装置
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9520294B2 (en) * 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9892935B2 (en) 2015-05-28 2018-02-13 International Business Machines Corporation Limiting electronic package warpage with semiconductor chip lid and lid-ring
KR102399578B1 (ko) 2015-06-05 2022-05-17 램 리써치 코포레이션 GaN 및 다른 III-V 족 재료들의 원자층 에칭
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
EP3375008B1 (en) 2015-11-10 2020-05-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Plasma-free etching process
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
WO2017147254A1 (en) 2016-02-23 2017-08-31 Tokyo Electron Limited Method and system for atomic layer etching
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010206051A (ja) * 2009-03-05 2010-09-16 Tokyo Electron Ltd 基板処理方法
JP2014532988A (ja) * 2011-10-27 2014-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k及びその他の誘電体膜をエッチングするための処理チャンバ

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
吴超等: "《微颗粒黏附与清除》", 31 December 2014 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110783190A (zh) * 2018-07-27 2020-02-11 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110783190B (zh) * 2018-07-27 2024-05-28 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN113574628A (zh) * 2019-03-14 2021-10-29 朗姆研究公司 用于高深宽比蚀刻的等离子体蚀刻工具
CN113574628B (zh) * 2019-03-14 2024-05-28 朗姆研究公司 用于高深宽比蚀刻的等离子体蚀刻工具
CN110718440A (zh) * 2019-10-16 2020-01-21 北京北方华创微电子装备有限公司 原子层刻蚀设备及刻蚀方法
CN110718440B (zh) * 2019-10-16 2022-06-14 北京北方华创微电子装备有限公司 原子层刻蚀设备及刻蚀方法

Also Published As

Publication number Publication date
SG10201703480QA (en) 2017-11-29
JP2017199909A (ja) 2017-11-02
JP7058080B2 (ja) 2022-04-21
KR20230030615A (ko) 2023-03-06
CN115241052A (zh) 2022-10-25
US20190244805A1 (en) 2019-08-08
US10685836B2 (en) 2020-06-16
KR102504770B1 (ko) 2023-02-27
US10269566B2 (en) 2019-04-23
TW201802935A (zh) 2018-01-16
KR20170124087A (ko) 2017-11-09
US20170316935A1 (en) 2017-11-02
CN107464747B (zh) 2022-07-12
TWI725177B (zh) 2021-04-21

Similar Documents

Publication Publication Date Title
CN107464747A (zh) 使用ale和选择性沉积蚀刻衬底
CN106067442B (zh) 钴深蚀刻
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
US20190131130A1 (en) Etching metal oxide substrates using ale and selective deposition
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
TWI695412B (zh) 蝕刻及平滑化基板表面的方法
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
US20170229314A1 (en) Atomic layer etching 3d structures: si and sige and ge smoothness on horizontal and vertical surfaces
CN107045977A (zh) 连续等离子体中的原子层蚀刻
CN107644835A (zh) 用于增强自底向上特征填充的原子层蚀刻
US20230093011A1 (en) Atomic layer etching of molybdenum
TW201511066A (zh) 半導體製造用之內部電漿格柵
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
CN110741462A (zh) 设计者原子层蚀刻

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant