CN113574628A - 用于高深宽比蚀刻的等离子体蚀刻工具 - Google Patents

用于高深宽比蚀刻的等离子体蚀刻工具 Download PDF

Info

Publication number
CN113574628A
CN113574628A CN202080021021.5A CN202080021021A CN113574628A CN 113574628 A CN113574628 A CN 113574628A CN 202080021021 A CN202080021021 A CN 202080021021A CN 113574628 A CN113574628 A CN 113574628A
Authority
CN
China
Prior art keywords
plasma
reactive species
ions
etching apparatus
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080021021.5A
Other languages
English (en)
Inventor
索斯藤·利尔
伊凡·L·贝里三世
西奥多罗斯·帕纳戈普路斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113574628A publication Critical patent/CN113574628A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • H01J2237/0473Changing particle velocity accelerating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

利用等离子体蚀刻设备以蚀刻高深宽比特征,该等离子体蚀刻设备可以在使低能量的反应性物质的负离子加速与使高能量的惰性气体物质的正离子加速之间交替进行。该等离子体蚀刻设备可被分成至少两个区域,其将等离子体产生空间与离子化空间分隔开。在等离子体产生空间中点燃等离子体时,可通过离子化空间中的电子附着离子化而产生反应性物质的负离子。在等离子体产生空间中等离子体熄灭时,可通过离子化空间中的潘宁离子化而产生惰性气体物质的正离子。

Description

用于高深宽比蚀刻的等离子体蚀刻工具
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
等离子体蚀刻处理常用于半导体装置的制造过程中。越来越多的半导体装置根据越来越狭窄的设计规则确定尺寸。特征尺寸逐渐减小,且越来越多的特征被装载于单一晶片上以产生更高密度的结构。随着装置特征缩小且结构的密度增加,使得受蚀刻的特征的深宽比增加。有效蚀刻高深宽比(HAR)特征对于满足许多半导体装置的设计要求而言是至关重要的。
这里提供的背景技术是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供了一种等离子体蚀刻设备。该等离子体蚀刻设备包含:等离子体产生源;离子化空间,其与所述等离子体产生源耦合,且被配置成产生离子;第一格栅,其位于所述离子化空间与所述等离子体产生源之间;加速空间,其与所述离子化空间耦合,且被配置成将所述离子输送至所述加速空间中的衬底;衬底支撑件,其用于在所述加速空间中支撑所述衬底,其中所述衬底支撑件被配置成被偏置;以及控制器。所述控制器被配置有用于执行以下操作的指令:经由将反应性物质导入所述离子化空间中并且施加正偏置至所述衬底支撑件以使所述反应性物质的负离子在所述加速空间中加速至所述衬底;以及经由将非反应性物质导入所述离子化空间中并且施加负偏置至所述衬底支撑件以使所述非反应性物质的正离子在所述加速空间中加速至所述衬底。
在一些实现方案中,所述负偏置的绝对值显著大于所述正偏置。在一些实现方案中,所述正偏置介于约0.5V至约10V之间,且所述负偏置介于约-50kV至约-1kV之间。在一些实现方案中,所述控制器被进一步配置有用于执行以下操作的指令:当使所述反应性物质的所述负离子加速时在所述等离子体产生源中点燃等离子体;以及当使所述非反应性物质的所述正离子加速时使所述等离子体产生源中的等离子体熄灭。在一些实现方案中,所述控制器被进一步配置有用于执行以下操作的指令:对于使所述反应性物质的所述负离子加速而言,将电子从所述等离子体提取至所述离子化空间,以在所述离子化空间中使所述反应性物质离子化并且形成所述反应性物质的所述负离子。在一些实现方案中,所述控制器被进一步配置有用于执行以下操作的指令:对于使所述非反应性物质的所述正离子加速而言,使亚稳态物质从所述等离子体扩散至所述离子化空间,以在所述离子化空间中使所述非反应性物质离子化并且形成所述非反应性物质的所述正离子。在一些实现方案中,所述等离子体蚀刻设备包括第二格栅,其位于所述离子化空间与所述加速空间之间。在所述离子化空间中的压强大于所述加速空间中的压强。
另一方面涉及一种等离子体蚀刻设备。所述等离子体蚀刻设备包含:等离子体产生源;离子化空间,其与所述等离子体产生源耦合,且被配置成产生离子;第一格栅,其位于所述离子化空间与所述等离子体产生源之间;加速空间,其与所述离子化空间耦合,且被配置成将所述离子输送至所述加速空间中的衬底;衬底支撑件,其用于在所述加速空间中支撑所述衬底,其中所述衬底支撑件被配置成被偏置;以及控制器。所述控制器被配置有用于执行以下操作的指令:将反应性物质和非反应性物质导入至所述离子化空间;在所述等离子体产生源中点燃等离子体;当所述等离子体被点燃时将正偏置施加至所述衬底支撑件,以使所述反应性物质离子化并且形成所述反应性物质的负离子,且使所述反应性物质的所述负离子加速至所述衬底;使所述等离子体产生源中的所述等离子体熄灭;以及当所述等离子体熄灭时将负偏置施加至所述衬底支撑件,以使所述非反应性物质离子化并且形成所述非反应性物质的正离子,且使所述非反应性物质的所述正离子加速至所述衬底。
在一些实现方案中,所述正偏置介于约0.5V至约10V之间,且其中所述负偏置介于约-50kV至约-1kV之间。在一些实现方案中,第二格栅位于所述离子化空间与所述加速空间之间,其中所述第一格栅被配置成被偏置,且所述第二格栅被配置成被偏置,其中所述离子化空间中的压强大于所述加速空间中的压强。在一些实现方案中,所述等离子体产生源是电感耦合式等离子体(ICP)反应器或电容耦合式等离子体(CCP)反应器。在一些实现方案中,所述控制器被进一步配置有用于执行以下操作的指令:重复且交替进行当所述等离子体被点燃时将所述正偏置施加至所述衬底支撑件和当所述等离子体熄灭时将所述负偏置施加至所述衬底支撑件的操作。
附图说明
图1为产生用于蚀刻的电感耦合式等离子体的示例性等离子体蚀刻设备的示意图。
图2为产生用于蚀刻的电容耦合式等离子体的示例性等离子体蚀刻设备的示意图。
图3A-3C显示了用于蚀刻二氧化硅(SiO2)的示例性反应机制的示意图。
图4A为根据某些实现方案的被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。
图4B为根据某些实现方案的被单一格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。
图4C为根据某些实现方案的被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备在远程等离子体源中产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。
图4D为根据某些实现方案的被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电容耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。
图5根据某些实现方案显示了利用正离子与负离子的交替离子束进行等离子体蚀刻的示例性方法的流程图。
图6A和6B根据某些实现方案显示了在图6A的改性操作与图6B的移除操作之间交替进行的示例性等离子体蚀刻处理的示意图。
图7根据某些实现方案显示了在等离子体蚀刻处理中对等离子体源及衬底支撑件施加电压的示例性时序图,其中该等离子体蚀刻处理在改性操作与移除操作之间交替进行。
具体实施方式
在本公开内容中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”以及“部分加工的集成电路”可互换地使用。本领域技术人员应理解:术语“部分加工的集成电路”可指涉及在集成电路加工的许多阶段的任一者期间的硅晶片。用于半导体装置产业中的晶片或衬底通常具有200mm、或300mm、或450mm的直径。以下详细描述说明假设在晶片上实施本公开内容。然而,本公开内容并非受限于此。工件可为各种外形、尺寸和材料。除了半导体晶片外,可利用本公开内容的其他工件,包含各种物件,例如印刷电路板等。
前言
等离子体已长期用于处理衬底。等离子体蚀刻涉及对沉积于衬底上的材料进行蚀刻以形成期望的图案。具体而言,反应离子蚀刻(RIE)利用具化学反应性的等离子体以将沉积于衬底上的材料移除。经由对等离子体生成室供应反应物气体并且施加电磁场以产生等离子体。例如,等离子体生成可采用电容耦合式等离子体技术、电感耦合式等离子体技术、电子回旋技术、或微波技术。等离子体中的高能离子与自由基被输送至衬底表面并且与沉积于衬底上的材料进行反应。
在等离子体生成室中,将反应物气体导入,并且经由施加强射频(RF)电磁场以产生等离子体。通过振荡电场而使电子加速,且电子与反应物气体分子碰撞而使反应物气体分子离子化并且使其电子剥离,从而产生具有离子与更多电子的等离子体。等离子体一般包含离子、自由基、中性物质和电子。在振荡电场的各个循环中,以电气方式使自由电子在等离子体生成室中向上和向下加速。许多自由电子可在电极处(如衬底表面)引起负偏置。较缓慢移动的离子朝向被偏置电极加速,并与待蚀刻的衬底表面上的材料进行反应。较缓慢移动的离子可形成可称为鞘或等离子体鞘的区域。典型的鞘厚度为大约若干毫米。离子通量一般与被处理的衬底的表面垂直。
等离子体反应器(如电感耦合式等离子体反应器和电容耦合式等离子体反应器)可以产生具有不同特性的等离子体。一般而言,电感耦合式等离子体反应器可有效地执行导体蚀刻处理,而电容耦合式等离子体反应器可有效地执行电介质蚀刻处理。
在使用电感耦合式等离子体反应器的情况下,外部线圈中的高RF电流可在等离子体区域中产生RF磁场,其进而在等离子体区域中产生RF电场。电感耦合式等离子体反应器可利用两个RF产生器以独立地控制等离子体密度和离子能量。在使用电容耦合式等离子体反应器的情况下,经由施加RF电压至电极而将能量输送至等离子体放电(plasmadischarge)中的电子。可单独地或同时地使用多个RF激发频率以改变等离子体特性。与电感耦合式等离子体反应器相比,电容耦合式等离子体反应器通常能够达到更高的离子能量,且等离子体密度与离子能量是相关联的,而不是在电感耦合式等离子体反应器中那样不相关联。
图1为产生用于蚀刻的电感耦合式等离子体的示例性等离子体蚀刻设备的示意图。等离子体蚀刻设备100包含上电极102和下电极104,在其之间可产生等离子体140。衬底106可被定位于下电极104上,且可被静电卡盘(ESC)保持于适当位置。也可以采用其他夹持机构。
在图1的示例中,等离子体蚀刻设备100包含两个RF源,其中RF源110连接至上电极102,而RF源112连接至下电极104。等离子体蚀刻设备100可以是电感耦合式等离子体反应器。虽然将等离子体蚀刻设备100描述为电感耦合式等离子体反应器,但应理解,等离子体蚀刻设备100可以是具有单一RF功率源的电容耦合式等离子体反应器。
在图1中,RF源110和112中的每一者可以包含任何适当频率(包括2MHz、13.56MHz、27MHz和60MHz)的一或更多个源。可从一或更多个气体源114将反应物气体导入至处理室120。例如,气体源114可包含惰性气体(例如氩(Ar))、含氧气体(例如O2)、含氟气体(例如CF4)、或其任何组合。可经由入口122将反应物气体导入至处理室120,并且通过排放泵124将过量气体及反应副产物排放。
使控制器130连接至RF源110和112、以及与气体源114相关联的阀。可进一步使控制器130连接至排放泵124。在一些实现方案中,控制器130控制等离子体蚀刻设备100的所有活动。
图2为产生用于蚀刻的电容耦合式等离子体的示例性等离子体蚀刻设备的示意图。等离子体蚀刻设备200包含上电极202和下电极204。下电极204可以包含额外的部件,例如用于保持衬底206的卡盘或其他夹持机构。可从RF源212向下电极204供应RF功率。RF源212可以提供任何适当频率,包括2MHz、13.56MHz、27MHz以及60MHz。RF源212可以在进行蚀刻期间提供RF偏置至下电极204。RF源212提供功率以激发上电极202与下电极204之间的间隙220中的处理气体,以产生等离子体240。RF源212可以是在间隙220中产生高密度等离子体240的单一RF源。可以从气体源214将处理气体供应至间隙220。处理气体由喷头装置216供应,且可流过通道而进入间隙220。
控制器230可与等离子体蚀刻设备200一起实现。控制器230可控制等离子体蚀刻设备200的某些或所有活动。在一些实现方案中,可以使控制器连接至下电极204、RF源212、以及与气体源214相关的阀。
等离子体通常含有离子和中性物质(如自由基)的混合物。中性物质倾向于缺乏方向性,并且提供宽广的角度分布。中性物质倾向于引起各向同性蚀刻和侧壁蚀刻。另一方面,离子倾向于具有沿实质上正交于衬底表面的方向的方向性,并且提供狭窄的角度分布。离子往往有助于各向异性蚀刻。离子和中性物质的混合物是在依赖于深宽比的蚀刻处理中使用。可以在等离子体反应器中控制等离子体的比率、密度和其他特性,但依赖于深宽比的蚀刻处理仍利用离子与中性物质两者来进行。
离子束蚀刻反应器使用离子束以通过溅射来蚀刻材料。该类型的蚀刻处理为高度各向异性且非选择性的。化学蚀刻反应器使用蚀刻剂气体以通过在衬底表面处的化学反应和形成挥发性产物而蚀刻材料。该类型的蚀刻处理是高度各向同性且具选择性的。等离子体蚀刻反应器通常使用离子和中性物质(如自由基)以通过离子轰击和在衬底表面上的化学反应而蚀刻材料。这可以称为离子辅助蚀刻。该类型的蚀刻处理可以是适度各向异性的且适度选择性的。经由控制离子通量、离子能量、中性粒子/离子通量比、沉积或钝化化学品、衬底表面的温度以及压强,可以影响蚀刻方向性和蚀刻轮廓。然而,随着特征的深宽比越来越高,常规的等离子体蚀刻技术和反应器可能无法充分地控制依赖于深宽比的蚀刻处理中的蚀刻方向性和蚀刻轮廓。
图3A-3C显示了用于蚀刻二氧化硅(SiO2)的示例性反应机制的示意图。依赖于深宽比的蚀刻处理的许多应用涉及反应性物质与非反应性物质的组合。等离子体可以由反应性物质与非反应性物质产生,其中等离子体可以包含反应性物质的自由基与非反应性物质的离子。反应性物质可以包含聚合物前体(例如氟碳前体(CxFy)),其中示例性氟碳前体可包含CF4及C4F8。非反应性物质可包含一或更多种惰性气体,如氦(He)、氩(Ar)、氙(Xe)和氪(Kr)。
在图3A中,CxFy的自由基可扩散至具有SiO2层的衬底的表面,且可使Ar+的离子在偏置下加速至衬底表面。可以使自由基与离子混合。如图3A-3C所示,自由基可能缺乏方向性,其中水平分量与竖直分量的大小相似。离子可能具有沿实质上正交于衬底表面的方向的方向性,其中竖直分量大于水平分量。自由基比离子更缓慢地移动至衬底表面。
在离子轰击下的自由基会形成图3B中的具化学反应性的SiCxFyOz层。自由基会倾向于在衬底表面上饱和化,并与衬底表面进行化学反应。此外,自由基可能倾向于在衬底表面上凝聚并形成膜。在不受任何理论限制下,离子束与CxFy的自由基混合可能在形成具化学反应性层的过程中起重要作用。
在图3C中,Ar+的高能离子可与衬底表面碰撞并穿透衬底表面。这使得具化学反应性的SiCxFyOz层作为蚀刻副产物(如SiF4及CO2)被解附。可从具化学反应性的SiCxFyOz层移除这些蚀刻副产物,从而蚀刻一些SiO2
在常规的等离子体蚀刻反应器(例如图1中的等离子体蚀刻设备或图2中的等离子体蚀刻设备)中,产生含有离子和中性物质的混合物的等离子体。经由在等离子体生成期间供应增量的RF功率,从而通过电子碰撞而产生较高的离子能量,可以蚀刻出高深宽比特征。产生离子厚鞘,并且可经由施加RF偏置使离子加速通过厚鞘。然而,这种产生较高离子能量和使离子加速的方式是低效率且高成本的,且仍造成较宽的离子能量分布函数(IEDF)及较宽的离子角度分布函数(IADF)。因此,常规的等离子体蚀刻反应器在其用于高深宽比蚀刻应用的有效性方面可能受到限制。
可利用离子束蚀刻反应器以替代常规的等离子体蚀刻反应器,使得离子被完全分离出来以用于蚀刻,但对于蚀刻高深宽比特征而言,来自等离子体的反应性物质(例如中性物质)通常也是必需的。因此,对于许多高深宽比蚀刻应用而言,使用离子束蚀刻反应器可能是不切实际的。
如上所述,诸如离子/中性粒子通量比之类的控制参数可能影响蚀刻方向性和蚀刻轮廓。可随着依赖于深宽比的蚀刻处理中的深宽比而调整离子/中性粒子通量比。较高的离子/中性粒子通量比可提供较为各向异性的蚀刻,而较低的离子/中性粒子通量比可提供较具选择性的蚀刻。离子/中性粒子通量比可能在蚀刻期间有所变化。例如,在常规的等离子体蚀刻反应器中,可经由混合模式脉冲(MMP)以调整离子/中性粒子通量比。气体循环的每个脉冲可具有反应性物质(如中性物质)比非反应性物质(如惰性气体)的变化的量。等离子体功率和/或频率在气体循环的各个脉冲期间可以是不同的。换言之,可随着各个脉冲而交替地改变RF设定和流量设定,以改变离子/中性粒子通量比。在使用混合模式脉冲的情况下,可随时间而改变离子比中性物质的比率。然而,混合模式脉冲可能相对缓慢,其归因于在反应性物质与非反应性物质之间的恒定气体切换。此外,虽然混合模式脉冲可针对各个脉冲而提供不同的RF功率/频率,但不同的RF功率/频率不会从根本上改变化学品。在常规的等离子体蚀刻反应器中进行电子撞击离子化的情况下,即使利用混合模式脉冲,中性物质和离子仍不会在进行蚀刻期间被完全分离出来。
还提出了依赖离子和中性物质以进行依赖于深宽比的蚀刻的常规等离子体蚀刻反应器,其挑战是中性物质朝向特征底部的扩散非常缓慢。蚀刻高深宽比特征可涉及使中性物质流动以吸附于暴露表面上并且形成反应性层、以及使离子朝向表面加速以移除反应性层。在常规等离子体蚀刻反应器中所产生的等离子体通常具有宽IEDF和宽IADF。中性物质具有约几个eV的能量,而离子具有约数十或数百eV的能量。中性物质缺乏方向性且难以利用宽IEDF和宽IADF以蚀刻高深宽比特征(例如深沟槽)。虽然可利用偏置脉冲使具有高离子能量的离子加速,但具有低离子能量的中性物质在所有方向上都非常缓慢地扩散。中性物质可能未必到达特征的底部,但会碰撞特征的侧壁。这导致低蚀刻速率。
在蚀刻高深宽比特征的过程中,在常规等离子体蚀刻反应器中使离子加速可能致使电荷在掩模上积聚。电荷在掩模上积聚可能排斥离子,使其无法到达特征的底部。这使得特征底部处的蚀刻减少并且使得侧壁处的蚀刻增加,其导致“翘曲(bowing)”。常规等离子体蚀刻反应器可使离子能量增加,以克服电荷斥力并到达高深宽比特征的底部,但这使得成本增加。
此外,常规等离子体蚀刻反应器在从衬底移除材料的过程中可能形成各种蚀刻副产物。通常,经由一或更多种泵抽机制将蚀刻副产物抽出等离子体蚀刻反应器。然而,蚀刻副产物可能并未被完全去除。当点燃等离子体时,这些蚀刻副产物可能被离子化并重新沉积于衬底上。可在多个操作之间执行无晶片自动清洁(WAC)以去除蚀刻副产物,但这使得成本增加。
等离子体蚀刻设备
本公开内容的等离子体蚀刻设备可解决高深宽比蚀刻的前述挑战。可将等离子体蚀刻设备分成两个或更多个体积,其将等离子体生成空间与离子化空间分隔开。在一些实现方案中,可将等离子体蚀刻设备分成至少三个体积,其将等离子体生成空间、离子化空间、以及加速空间分隔开。在一些实现方案中,格栅至少将等离子体生成空间与离子化空间分隔开,其中可使格栅偏置或接地。可经由DC电压使支撑衬底的电极或衬底支撑件偏置,以与格栅产生电场。在蚀刻处理的第一阶段期间,在等离子体生成空间中所产生的电子可与反应性物质进行反应,以通过电子附着离子化(electron attachment ionization)而在离子化空间中形成负离子,其中使这些负离子加速至衬底表面以使衬底表面处的材料改性。在蚀刻处理的第二阶段期间,使等离子体熄灭,且残留的亚稳态中性物质可与惰性气体物质进行反应以通过潘宁离子化(Penning ionization)而在离子化空间中形成正离子,其中使这些正离子加速至衬底表面以蚀刻衬底表面处的经改性材料。可交替且重复进行蚀刻处理的第一与第二阶段以完成蚀刻处理。如本文所使用的,负离子也可称为“快速中性粒子”、“经加速的中性粒子”、“未解离的反应性离子”、或“反应性离子”。正离子也可以称为“非反应性离子”或“惰性气体离子”。等离子体蚀刻设备可通过完全分离快速中性粒子和非反应性离子而进行高深宽比蚀刻。
图4A为根据某些实现方案的被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。等离子体蚀刻设备400a包含用于产生等离子体的等离子体产生源410、与等离子体产生源410耦合且配置成产生离子的离子化空间420、以及与离子化空间420耦合且配置成输送离子至衬底436的加速空间430,其中衬底436被定位在加速空间430中。等离子体蚀刻设备400a可以包含介于等离子体产生源410与离子化空间420之间的第一格栅424。在一些实现方案中,等离子体蚀刻设备400a还可以包含介于离子化空间420与加速空间430之间的第二格栅434。等离子体产生源410可在离子化空间420的上游,且离子化空间420可在加速空间430的上游。
可从第一气体源412将第一气体或第一气体混合物导入等离子体产生源410中。第一气体源412可以与等离子体产生源410流体连通。可以使一或更多阀、质量流量控制器(MFC)和/或混合歧管与第一气体源412相关联,以控制第一气体流入等离子体产生源410的流动。第一气体可包含稀有气体,例如氦、氩、氙、或氪。在一些实现方案中,可在蚀刻处理期间连续地输送第一气体。在一些实现方案中,可以在蚀刻处理的不同阶段中使第一气体脉冲。
可以将RF功率供应至等离子体产生源410,以在等离子体产生源410中产生第一气体的等离子体。在一些实现方案中,等离子体产生源410可以包含耦合至RF产生器416的RF天线414。在一些实现方案中,RF产生器416可包含耦合至匹配网络的RF电源。在一些实现方案中,RF天线414可以包含平面螺旋线圈。在图4A中所示的一些实现方案中,等离子体蚀刻设备400a的等离子体产生源410是电感耦合式等离子体(ICP)反应器。然而,应理解,本发明可以采用电容耦合式等离子体(CCP)反应器或其他类型的等离子体反应器以产生等离子体。在使用过程中,第一气体被输送至等离子体产生源410且RF功率从RF产生器416被供应至RF天线414,以在等离子体产生源410中产生等离子体。经由电子撞击离子化,电子与第一气体进行碰撞并使其电子剥离,以产生离子和更多电子。在蚀刻处理的第一阶段期间,可供应RF功率以在等离子体产生源410中产生第一气体的等离子体。在蚀刻处理的第二阶段期间,可关闭RF功率以使等离子体产生源410中的等离子体熄灭。
如下文更详细地讨论的,蚀刻处理可以构成蚀刻循环,其分为两个阶段。第一阶段可以构成改性阶段,其中等离子体启动,而第二阶段可以构成移除阶段,其中等离子体关闭。
等离子体产生源410经由第一格栅424而与离子化空间420耦合。可通过第一格栅424从在等离子体产生源410中所产生的等离子体中提取离子、电子、或中性物质。在一些实现方案中,第一格栅424可以包含多个开口或孔隙,离子、电子、或中性粒子可通过这些开口或孔隙。在一些实现方案中,第一格栅424可包含具有多个开口或孔隙的导电板,其中该导电板可以是偏置的或接地的。在如图4A所示的一些实现方案中,可通过电接地446而使第一格栅424接地。然而,应理解,在一些实现方案中,可对第一格栅424施加偏置。第一格栅424可以与第二格栅434或衬底支撑件438形成电场。取决于电场的电位梯度,可经由第一格栅424从等离子体中提取某些带电物质和/或中性物质。可在蚀刻处理的第一阶段期间提取电子以进行电子附着离子化(electron attachment ionization),并且可在蚀刻处理的第二阶段期间提取亚稳态中性物质以进行潘宁离子化(Penning ionization)。第一阶段可构成改性阶段,其中经由第一格栅424从等离子体中提取电子,且第二阶段可构成移除阶段,其中经由第一格栅424从等离子体余辉中提取亚稳态中性物质。
电子附着离子化和潘宁离子化可以在离子化空间420中发生。可以从一或更多个其他气体源422将第二气体或第二气体混合物导入离子化空间420中。第二气体可以包含反应性气体或反应性物质。反应性物质的示例包含卤素气体(如氯(Cl2)、溴(Br2)、氟(F2)、或碘(I2))、全氟碳化物(如四氟甲烷(CF4)、八氟环丁烷(C4F8)、以及六氟环丁烯(C4F6))、氢氟碳化物(如三氟甲烷(CHF3)、二氟甲烷(CH2F2)、以及氟甲烷(CH3F))、和氧(O2)。一般而言,第二气体是负电性反应气体。可以从一或更多个其他气体源422将第三气体或第三气体混合物导入离子化空间420中。第三气体可以包含非反应性物质,如氦、氩、氙、或氪。在一些实现方案中,第三气体与第一气体不同。在一些实现方案中,可通过与一或更多个其他气体源422流体耦合的不同气体入口将第二气体与第三气体输送至离子化空间420中。可使一或更多个阀、质量流量控制器(MFC)和/或混合歧管与一或更多个其他气体源422相关联,以控制第二气体与第三气体流入离子化空间420的流动。在一些实现方案中,可以在蚀刻处理的第一阶段和第二阶段期间将第二气体与第三气体连续地供应至离子化空间420中。在一些其他实现方案中,可以脉冲的方式将第二气体与第三气体供应至离子化空间420中,从而在第一阶段中提供第二气体且在第二阶段期间提供第三气体。
经由第一格栅424而提取的电子可引起第二气体的电子附着离子化。这形成反应性物质的负离子。反应性物质的负离子通过电子附着离子化在没有解离作用的情况下形成。电子附着离子化可以在蚀刻处理的第一阶段期间发生。因此,在蚀刻处理的改性阶段期间发生电子附着离子化而形成反应性物质的负离子。以下显示了关于C4F8的电子附着离子化的示例性反应式:
e-+C4F8-->C4F8 -
经由第一格栅424而提取的亚稳态中性物质可引起第三气体的潘宁离子化。这形成非反应性物质的正离子。可以在即使等离子体产生源410中的等离子体熄灭或关闭之后经由第一格栅424提取亚稳态中性物质。在一些实现方案中,亚稳态中性物质可处于激发态。亚稳态中性物质可具有足够长的寿命,以扩散通过第一格栅424并与非反应性物质碰撞。碰撞会引起非反应性物质的潘宁离子化,从而使非反应性物质的电子剥离。潘宁离子化可以在蚀刻处理的第二阶段期间发生。因此,在蚀刻处理的移除阶段期间发生潘宁离子化而形成非反应性物质的正离子。以下显示了关于Ar及亚稳态He*的潘宁离子化的示例性反应式:
He*+Ar-->Ar++He+e-
衬底436可以在加速空间430中被支撑于衬底支撑件438上。在一些实现方案中,衬底436可以包含多个高深宽比特征。高深宽比特征可以包含具有至少10:1、至少20:1、至少50:1、或至少100:1的深度比宽度的深宽比的特征。衬底支撑件438被配置为通过DC电压施加偏置。衬底支撑件438可以包含卡盘或其他夹持机制以用于保持衬底436。衬底支撑件438可包含电极,其与DC电源442电连接,以施加负或正DC电压至衬底支撑件438。被偏置的衬底支撑件438可使得离子朝向衬底436加速。可经由在蚀刻处理的第一阶段(改性阶段)期间施加正偏置而使负离子或快速中性粒子朝向衬底436加速,并且可经由在蚀刻处理的第二阶段(移除阶段)期间施加负偏置而使正离子或非反应性离子朝向衬底436加速。
正偏置可以在衬底支撑件438与第二格栅434或第一格栅424之间产生弱电场,使得负离子在低能量下加速。负偏置可以在衬底支撑件438与第二格栅434或第一格栅424之间产生强电场,使得正离子在高能量下加速。在一些实现方案中,负偏置的绝对值可显著大于正偏置。在一些实现方案中,正偏置可介于约0.5V至约10V之间,而负偏置可介于约-50kV至约-1kV之间。蚀刻处理的改性阶段期间的经加速的负离子用于将衬底表面改性或活化,且可以在衬底表面上形成反应性层。蚀刻处理的移除阶段期间的经加速的正离子用于蚀刻衬底表面上的反应性层。
在图4A中所示的一些实现方案中,离子化空间420经由第二格栅434与加速空间430耦合。第一格栅424可以将等离子体产生源410与离子化空间420分隔开,且第二格栅434可以将离子化空间420与加速空间430分隔开。第一格栅424与第二格栅434两者的利用可以使离子化作用增强。利用第一格栅424与第二格栅434,离子化空间420可以在与加速空间430不同的压强下操作。在一些实现方案中,离子化空间420中的压强大于加速空间430中的压强。离子化空间420中的较高压强促进更多碰撞和更多离子化作用。在一些实现方案中,离子化空间420中的压强介于约10mTorr至约1000mTorr之间,如约500mTorr。加速空间430中的减低压强促进加速作用且碰撞较少。在一些实现方案中,加速空间430中的压强介于约1mTorr至约50mTorr之间,如约4mTorr。
第二格栅434的方面可以类似于第一格栅424。在一些实现方案中,第二格栅434可以包含多个开口或孔隙,离子、电子、或中性粒子可通过这些开口或孔隙。在一些实现方案中,第二格栅434可以包含具有多个开口或孔隙的导电板,其中该导电板可以是偏置的或接地的。在如图4A所示的一些实现方案中,第二格栅434包含电极,其与DC电源444电连接,以施加负或正DC电压至第二格栅434。例如,在蚀刻处理的第一阶段期间,可使第二格栅434被正偏置以将电子从等离子体产生源410吸引至离子化空间420中。在蚀刻处理的第二阶段期间,可使第二格栅434被负偏置以使正离子加速离开离子化空间420。虽然图4A中的实现方案显示为具有第一格栅424和第二格栅434,但应理解,等离子体蚀刻设备400a可以包含任何数量的格栅,例如三个、四个、五个、或更多格栅。
等离子体蚀刻设备400a还可以包含排放泵470。排放泵470可包含粗抽泵和/或涡轮分子泵,其与加速空间430流体连通。排放泵470用于控制等离子体蚀刻设备400a中的压强,如加速空间430中的压强。排放泵470被进一步用于将各种气体从加速空间430中排空。
可以在等离子体蚀刻设备400a中交替地重复蚀刻处理的改性阶段和移除阶段。在改性阶段中,在等离子体产生源410中产生等离子体;经由第一格栅424从等离子体中提取电子;在离子化空间420中发生电子附着离子化而形成反应性物质的负离子;在加速空间430中经由施加至衬底支撑件438的正偏置而使负离子加速;且衬底表面通过负离子而进行改性。在移除阶段中,将等离子体产生源410中的等离子体关闭;经由第一格栅424而从等离子体余辉中提取亚稳态中性物质;在离子化空间420中发生潘宁离子化而形成非反应性物质的正离子;在加速空间430中经由施加至衬底支撑件438的负偏置而使正离子加速;且衬底表面上的改性层通过正离子而被移除。
等离子体蚀刻设备400a还可以包含控制器450。控制器450(其可以包含一或更多个实体或逻辑控制器)控制等离子体蚀刻设备400a的某些或所有操作。控制器450可配置有用于执行蚀刻处理的改性阶段和移除阶段的指令。以此方式,控制器450可以在交替的阶段中选择性地使反应性物质和非反应性物质离子化,且控制器450可以在交替的阶段中使负离子和正离子的离子束加速。在一些实现方案中,控制器450可用于控制与RF天线414连接的RF产生器416、用于输送第一气体的第一气体源412、用于输送第二气体和第三气体的一或更多个其他气体源422、与第二格栅434电连接的DC电源444、与衬底支撑件438电连接的DC电源442、排放泵470、或其组合。在一些实现方案中,控制器450可配置有用于以下操作的指令:在改性阶段期间施加RF功率至等离子体产生源410且在移除阶段期间使供应至等离子体产生源410的RF功率关闭。在一些实现方案中,控制器450可以配置有用于以下操作的指令:在改性阶段期间施加正偏置至衬底支撑件438以从等离子体产生源410中提取电子并且使反应性物质的负离子加速至衬底436、以及在移除阶段期间施加负偏置至衬底支撑件438以使非反应性物质的正离子加速至衬底436。施加正偏置可从等离子体中提取电子,以使反应性物质离子化并且形成反应性物质的负离子。施加负偏置可以致使亚稳态物质从等离子体或其余辉扩散,以使非反应性物质离子化并且形成非反应性物质的正离子。
控制器450可以包含一或更多个存储器装置和一或更多个处理器。处理器可以包含中央处理器(CPU)或计算机、模拟和/或数字输入/输出连接件、步进马达控制器板、以及其他类似部件。用于施行适当控制操作的指令是在处理器上执行。这些指令可以储存在与控制器450相关的存储器装置上或其可以经由网络提供。在一些实现方案中,控制器450执行系统控制软件。系统控制软件可以包含用于控制以下室操作条件中的任一或多者的施加时序和/或大小的指令:气体的混合和/或组成、气体的流率、室压强、室温度、衬底/衬底支撑件温度、衬底位置、衬底支撑件倾斜、衬底支撑件旋转、施加至格栅的电压、施加至衬底支撑件的电压、施加至线圈、天线、或其他等离子体产生部件的频率及功率、以及由工具所执行的特定处理的其他参数。系统控制软件可进一步经由排放泵470而控制排净操作及清洁操作。系统控制软件可以任何合适方式进行配置。例如,可以写入许多处理工具部件的子程序或控制目的,以控制执行各种处理工具的处理所必需的处理工具部件的操作。可以任何合适的计算机可读程序语言对系统控制软件进行编码。
在一些实现方案中,系统控制软件包含输入/输出控制(IOC)排序指令,以控制上述的各种参数。例如,半导体加工工艺的各阶段可包含用于由控制器450执行的一或更多个指令。例如,可将用于设定一阶段的工艺条件的指令包含于相应的配方阶段中。在一些实现方案中,可将配方阶段依序排列,使得针对该工艺阶段以一定顺序执行等离子体蚀刻处理中的步骤。例如,配方可配置以执行第一阶段期间的等离子体生成和负离子加速以及第二阶段期间在等离子体功率关闭情况下的正离子加速。
在一些实现方案中,可使用其他计算机软件和/或程序。用于此目的的程序或程序段的示例包含衬底定位程序、处理气体组成控制程序、压强控制程序、加热器控制程序以及RF功率供应控制程序。
控制器450可基于传感器输出(例如,当功率、电位、压强、气体水平等到达某阈值时)、操作的时序(例如,在工艺的某些时候施加功率)、或基于所接收的来自用户的指令,而控制这些和其他方面。
概括地说,控制器450可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器450的指令,单独设置(或程序文件)定义用于在半导体衬底或系统上或针对半导体衬底或系统执行特定工艺的操作参数。在一些实现方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在等离子体蚀刻处理期间完成一个或多个处理步骤。
在一些实现方式中,控制器450可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对衬底处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器450接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器450被配置为与该工具接口或控制该工具。因此,如上所述,控制器450可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器450的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器450可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或将衬底容器从半导体制造工厂中的工具位置和/或装载口往返运输的材料运输中使用的工具通信。
在一些实现方案中,控制器450被配置有用于执行以下操作的指令:经由将反应性物质导入离子化空间420中并且施加正偏置至衬底支撑件438以使反应性物质的负离子在加速空间430中加速至衬底436、以及经由将非反应性物质导入离子化空间420中并施加负偏置至衬底支撑件438以使非反应性物质的正离子在加速空间430中加速至衬底436。控制器450可进一步配置有用于执行以下操作的指令:当使反应性物质的负离子加速时在等离子体产生源410中点燃等离子体、以及当使非反应性物质的正离子加速时使等离子体产生源410中的等离子体熄灭。控制器450可进一步配置有用于执行以下操作的指令:对于使反应性物质的负离子加速而言,将电子从等离子体提取至离子化空间420,以在离子化空间420中使反应性物质离子化并且形成反应性物质的负离子。这可以通过施加正偏置至衬底支撑件438来进行。控制器450可以进一步配置有用于执行以下操作的指令:对于使非反应性物质的正离子加速而言,使亚稳态物质从等离子体扩散至离子化空间420,以在离子化空间420中使非反应性物质离子化并形成非反应性物质的正离子。这可通过施加负偏置至衬底支撑件438来进行。控制器450可进一步配置有用于执行以下操作的指令:对于使反应性物质的负离子加速而言,在衬底436的材料层上形成反应性层;以及对于使非反应性物质的正离子加速而言,蚀刻衬底436的材料层,其中该材料层包含介电材料或导电材料。控制器450可进一步配置有用于执行以下操作的指令:重复且交替进行使反应性物质的负离子加速以及使非反应性物质的正离子加速的操作。
图4B为根据一些实现方案被单一格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。图4B中的等离子体蚀刻设备400b的方面可以相似于图4A中的等离子体蚀刻设备400a,不同之处在于:等离子体蚀刻设备400b中不存在第二格栅。因此,离子化空间420和加速空间430占据整体体积,且未被任何实体结构所分隔。离子化空间420和加速空间430中的压强会是相同的。在等离子体蚀刻设备400b的相同整体体积中有效地使离子产生和加速。
图4C为根据一些实现方案的被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备在远程等离子体源中产生电感耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。图4C中的等离子体蚀刻设备400c的方面可以相似于图4A中的等离子体蚀刻设备400a,不同之处在于:等离子体产生源410在等离子体蚀刻设备400c中被耦合至远程感应源472。可将来自RF产生器476的RF电流施加至线圈474以在远程感应源472中产生RF电场,并且在等离子体产生源410中形成下游等离子体。感应耦合式远程等离子体反应器可产生比电容耦合式等离子体反应器更高密度的等离子体。因此,感应耦合式远程等离子体反应器可以用于增加电子密度和亚稳态物质密度。电容耦合式远程等离子体反应器与电容耦合式等离子体反应器相比也是如此。在一些实现方案中,等离子体蚀刻设备400c可包含单一格栅,而非两个或更多个格栅。
图4D为根据某些实现方案被至少两个格栅所划分的示例性等离子体蚀刻设备的示意图,其中该等离子体蚀刻设备产生电容耦合式等离子体并且输送正离子与负离子的交替离子束以进行蚀刻。图4D中的等离子体蚀刻设备400d的方面可以类似于图4A中的等离子体蚀刻设备400a,不同之处在于:等离子体产生源410在等离子体蚀刻设备400d中为电容耦合式等离子体反应器。可以将RF功率从RF产生器416供应至电极418,以在等离子体产生源410中产生等离子体。可以使第一格栅424偏置或接地,并且可以在电容耦合式等离子体反应器中于电极418与第一格栅424之间形成等离子体。在一些实现方案中,等离子体蚀刻设备400d可以包含单一格栅,而非两个或更多个格栅。此外,应理解,图4A-4D中的等离子体蚀刻设备400a-400d可以利用任何数量的格栅,且可利用任何合适的等离子体产生技术,如CCP技术、ICP技术、电子回旋技术、或微波技术。
图5显示了根据某些实现方案利用正离子与负离子的交替离子束进行等离子体蚀刻的示例性方法的流程图。图5中的工艺500的操作可以包含额外、较少、或不同的操作。伴随图5中的工艺500的描述,一系列横截面示意图在图6A中显示改性操作且在图6B中显示移除操作。图6A和6B显示了根据一些实现方案的在图6A的改性操作与图6B的移除操作之间交替进行的示例性等离子体蚀刻处理的示意图。可利用等离子体蚀刻设备(如图4A-4D中的等离子体蚀刻设备400a-400d中的一者)以执行工艺500的操作。
在工艺500的框510,将反应性物质和非反应性物质导入至离子化空间。反应性物质和非反应性物质可以以气相的形式直接流入等离子体蚀刻设备的离子化空间。离子化空间可以是与等离子体产生源分隔开的空间,其中第一格栅可以将离子化空间与等离子体产生源分隔。离子化空间可以位于等离子体产生源的下游。第一格栅可以包含具有多个开口或孔隙的导电板,稀有气体的中性物质、离子和电子可通过这些开口或孔隙。反应性物质可包含负电性反应气体物质,如卤素、全氟碳化物、氢氟碳化物、或氧。例如,反应性物质包含C4F8。非反应性物质可包含惰性气体,如氦、氩、氙、或氪。非反应性物质可以与供应至等离子体产生源的稀有气体不同。在一些实现方案中,反应性物质和非反应性物质可在整个工艺500中连续地导入、或在工艺500期间的指定时段内导入。在一些实现方案中,可以在工艺500期间以单独的脉冲将反应性物质和非反应性物质导入。例如,可以在工艺500的第一阶段期间导入反应性物质和非反应性物质中的一或两者,或者可以在工艺500的第二阶段期间导入反应性物质和非反应性物质中的一或两者。
第一阶段构成改性阶段,且可以至少包含工艺500的框520和530。在一些实现方案中,第一阶段还包含框510。第二阶段构成移除阶段,且可以至少包含工艺500的框540和550。在一些实现方案中,第二阶段还包含框510。
在工艺500的框520,在等离子体产生源中点燃稀有气体的等离子体。在一些实现方案中,在框520之前或框520期间将稀有气体导入等离子体产生源中。稀有气体可以包含氦、氩、氙、或氪。例如,稀有气体包含氦。稀有气体的等离子体可以包含稀有气体的中性物质、离子和电子的混合物。在一些实现方案中,等离子体产生源可以是CCP反应器或ICP反应器。在框520的等离子体点燃期间,将等离子体启动。
在工艺500的框530,将正偏置施加至衬底支撑件,以从等离子体产生源中提取电子并且使反应性物质的负离子加速至衬底。可以将衬底支撑于加速空间中的衬底支撑件上,其中该加速空间可以表示等离子体蚀刻设备中与离子化空间整合或与离子化空间分隔的体积。加速空间可以位于离子化空间的下游。衬底可以包含待蚀刻的材料层,其中该材料层可以包含介电材料或导电材料。在一些实现方案中,衬底可以包含多个高深宽比特征,其具有至少10:1、至少20:1、至少50:1、或至少100:1的深度比宽度的深宽比。
可经由第一格栅而从等离子体产生源中的等离子体中提取电子。在一些实现方案中,第一格栅可以是接地的,且对等离子体产生源外部的衬底支撑件施加正偏置,以经由第一格栅而提取电子。在一些实现方案中,可以对第一格栅施加负偏置,且对等离子体产生源外部的衬底支撑件施加正偏置,以经由第一格栅而提取电子。归因于在被正偏置的衬底支撑件与接地或被负偏置的格栅之间所建立的电场,因此从等离子体中提取出电子。电子在等离子体启动时被提取。在不受任何理论限制下,所提取的电子可以与反应性物质碰撞,并且通过电子附着离子化而形成反应性物质的负离子。反应性物质的离子不会解离。在引起与反应性物质的电子附着离子化(但不引起与非反应性物质的电子附着离子化)的能量下提取电子。例如,可在介于约1eV至约5eV之间的能量下提取电子,以进行C4F8的电子附着而形成C4F8 -。在一些实现方案中,施加至衬底支撑件的正偏置介于约0.5V至约10V之间、或介于约1V至约5V之间。
由于反应性物质的负离子是通过电子附着离子化而形成,因此施加至衬底支撑件的正偏置使得负离子加速至衬底。以限制或避免衬底表面处的溅射的方式使反应性物质的负离子加速至衬底。具体而言,可将施加至衬底支撑件的正偏置维持于约0.5V至约10V之间、或约1V至约5V之间。经由施加较小的正偏置,经加速的负离子可使衬底表面改性或活化,而非从衬底表面上溅射原子/分子。在一些实现方案中,经加速的负离子被吸附于衬底表面上而形成反应性层以用于蚀刻。衬底上的材料层可被转化为反应性层,其中该反应性层可以在工艺500的移除阶段期间被蚀刻。
可以同时或循序地执行改性阶段中的框520和530的操作。可以在框520和530的操作之前或期间执行框510的操作。
图6A显示了经历蚀刻处理的改性阶段的示例性等离子体蚀刻设备的示意图。这样的改性阶段可以包含图5中的工艺500的框510、520和530的操作。将氦气输送至诸如CCP反应器之类的等离子体产生源中。虽然将等离子体产生源显示为CCP反应器,但应理解,等离子体产生源可以是任何合适的等离子体反应器。氦等离子体由等离子体产生源产生。正DC电压被施加至衬底支撑件,衬底被支撑于该衬底支撑件上。正偏置使得电子经由等离子体产生源与离子化空间之间的格栅而被提取。将反应性气体(如C4F8)和非反应性气体(如Ar)导入离子化空间。所提取的电子引起反应性气体在没有解离的情况下离子化,以形成反应性气体的负离子。如图6A所示,C4F8通过电子附着离子化而离子化以形成C4F8 -。经由正偏置而使反应性气体的负离子加速至衬底,以使衬底的衬底表面活化或改性。例如,C4F8 -可以在衬底表面上形成反应性层。虽然在等离子体蚀刻设备中显示单一格栅,但应理解,可以在等离子体蚀刻设备中设置第二格栅以划分离子化空间,该第二格栅位于在其中发生离子化的离子化空间与在其中设置衬底的加速空间之间。因此,蚀刻处理的改性阶段可以涉及:启动等离子体以点燃等离子体;施加正偏置至衬底支撑件;从等离子体中提取电子;使反应性物质离子化以形成反应性物质的负离子;以及使负离子加速至衬底以使衬底表面改性。
回到图5,工艺500的框540,在等离子体产生源中使等离子体熄灭。不施加RF功率至等离子体产生源来点燃或维持等离子体。换言之,使等离子体关闭。在没有等离子体放电的情况下,不会产生稀有气体的带电物质。然而,亚稳态物质(如稀有气体的亚稳态中性物质)即使在等离子体关闭之后仍可留存于等离子体产生源中。稀有气体的亚稳态物质可以具有足够长的寿命,以扩散通过第一格栅并且进入离子化空间。尤其是,稀有气体的亚稳态物质在余辉期间可扩散至离子化空间中。
在等离子体关闭之后扩散至离子化空间中的亚稳态物质可以与非反应性物质碰撞并且形成非反应性物质的正离子。亚稳态物质可以处于激发态。在不受任何理论限制下,处于激发态的亚稳态物质可以与非反应性物质引起潘宁离子化,但不与反应性物质引起潘宁离子化。例如,处于激发态的亚稳态氦自由基(He*)可具有若干秒的寿命和若干eV的能量。该寿命对于在衰变之前发生碰撞而言是足够长的,且亚稳态氦自由基在激发态下具有充足的能量以使惰性气体物质(如Ar)离子化。亚稳态氦自由基可以使Ar离子化而形成Ar+
在工艺500的框550,将负偏置施加至衬底支撑件以使非反应性物质的正离子加速至衬底。由于惰性气体物质的正离子是通过潘宁离子化而形成,因此施加至衬底支撑件的负偏置使得正离子加速至衬底。以促进衬底表面处的离子轰击以及化学辅助溅射的方式使非反应性物质的正离子加速至衬底。通过介于约1000eV至约50000eV之间的能量,正离子可撞击并且穿透衬底表面。在一些实现方案中,施加至衬底支撑件的负偏置可以介于约-50kV至约-1kV之间、或介于约-10kV至约-1kV之间。经由施加较大的负偏置,经加速的正离子可以对形成于衬底表面上的材料进行蚀刻。在一些实现方案中,经加速的正离子与反应性层混合以导致反应性层被蚀刻。
可以同时或循序地执行移除阶段中的框540和550的操作。可以在框540和550的操作之前或期间执行框510的操作。
图6B显示了经历蚀刻处理的移除阶段的示例性等离子体蚀刻设备的示意图。这种移除阶段可以包含图5中的工艺500的框510、540以及550的操作。未将功率施加至等离子体产生源,因此使得等离子体产生源中的等离子体熄灭。氦等离子体被关闭,仅在等离子体余辉中留下亚稳态氦自由基。亚稳态氦自由基可处于激发态,并且可扩散通过格栅。将反应性气体(例如C4F8)和非反应性气体(例如Ar)导入离子化空间。所提取的亚稳态氦自由基引起非反应性气体的离子化,以形成非反应性气体的正离子。如图6B所示,Ar通过潘宁离子化而离子化以形成Ar+。将负DC偏压施加至衬底支撑件,衬底被支撑于该衬底支撑件上。负偏置使得非反应性气体的正离子加速至衬底,以通过化学辅助溅射将衬底表面上的反应性层移除。例如,Ar+可移除由吸附于衬底表面上的C4F8 -所形成的反应性层。因此,蚀刻处理的移除阶段可以涉及:关闭等离子体以使等离子体熄灭;施加负偏置至衬底支撑件;提取亚稳态中性物质;使非反应性物质离子化以形成非反应性物质的正离子;以及使正离子加速至衬底以从衬底表面蚀刻材料。
回到图5,工艺500还可以包含以交替的方式重复进行框520和530的改性阶段与框540和550的移除阶段。改性阶段与移除阶段可以连续地交替进行以完成工艺500,从而进行等离子体蚀刻。在一些实现方案中,改性阶段与移除阶段可以连续地交替进行以完成工艺500,从而在衬底上获得等离子体蚀刻高深宽比特征。工艺500可以在改性阶段中的电子附着离子化与移除阶段中的潘宁离子化之间交替。此外,工艺500可以在改性阶段中的低能量的加速快速中性粒子与移除阶段中的高能量的加速正离子之间交替。此外,工艺500可以在改性阶段中的等离子体启动与移除阶段中的等离子体关闭之间交替。
图7显示了根据一些实现方案的在等离子体蚀刻处理中对等离子体源施加功率和对衬底支撑件施加电压的示例性时序图,其中该等离子体蚀刻处理在改性操作与移除操作之间交替进行。改性操作与移除操作可以构成蚀刻循环。在一些实现方案中,蚀刻循环可持续约1ms至约50ms之间。改性操作的持续时间可介于约1ms至约10ms之间,且移除操作的持续时间可以介于约1ms至约10ms之间。改性操作及其持续时间可以与使反应性物质的负离子加速相关联而进行、或与施加正偏置至衬底支撑件相关联而进行。移除操作及其持续时间可与使非反应性物质的正离子加速相关联而进行、或与施加负偏置至衬底支撑件相关联而进行。
如图7所示,在改性操作期间将功率施加至等离子体源,并且利用正DC电压使衬底支撑件略微地被偏置。正DC电压可以介于约1V至约5V之间。如图7所示,在移除操作期间不施加功率至等离子体源,并且利用负DC电压使衬底支撑件显著地被偏置。负DC电压可介于约-50kV至-1kV之间。控制器可以被配置成提供用于以下操作的指令:在改性操作与移除操作之间交替地施加功率至等离子体源和施加电压至衬底支撑件。
本发明的等离子体蚀刻设备提供反应性物质的负离子与非反应性物质的正离子的交替离子束以进行等离子体蚀刻。快速中性粒子可通过低能量的DC加速而使衬底表面改性,且正离子可通过高能量的DC加速而从衬底表面蚀刻材料。快速中性粒子具有窄IEDF和窄IADF。负离子与正离子的加速作用通过DC加速而单独地发生,而非通过常规等离子体蚀刻反应器中的RF偏置所引起的鞘加速(其造成宽IEDF和宽IADF)。相对于常规等离子体蚀刻反应器中的混合模式脉冲以平衡离子/中性粒子通量比,本发明可通过分离高能量的正粒子与低能量的负离子而分离离子通量与中性粒子通量。常规等离子体蚀刻反应器通过电子撞击离子化而进行离子化,而本发明可通过在进行电子附着离子化以形成负离子与进行潘宁离子化以形成正离子之间作选择而实现选择性离子化。具有低能量且具有窄IADF的快速中性粒子可通过电子附着离子化而产生,从而避免中性物质非常缓慢地扩散至高深宽比特征的底部。此外,通过正离子与负离子的交替离子束而避免电荷在掩模上积聚。还通过以下方式而避免蚀刻副产物的再沉积:利用一或更多个格栅将等离子体产生区域与蚀刻区域分隔开,其防止蚀刻副产物回流至等离子体产生区域中。另外,不论等离子体反应器是CCP反应器还是ICP反应器,都可以经由本发明的等离子体蚀刻设备以执行电介质蚀刻合导体蚀刻。
结论
在以上的描述中,说明了大量的特定细节,以提供对所提出的实施方案的彻底理解。所公开的实施方案可在没有这些特定细节中的一些或全部的情况下实行。在其他的示例中,为了避免不必要地使所公开的实施方案难以理解,公知的工艺操作没有详细描述。虽然所公开的实施方案是结合特定实施方案而进行说明的,但应理解,其并非意图限制所公开的实施方案。
虽然上述的实施方案为了清楚和理解的目的进行了详细描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,存在实现所提供的实施方案的工艺、系统和设备的许多替代方式。因此,所提供的实施方案应被认为是说明性的而不是限制性的,并且所述实施方案不应受限于本文所给出的细节。

Claims (20)

1.一种等离子体蚀刻设备,其包含:
等离子体产生源;
离子化空间,其与所述等离子体产生源耦合,且被配置成产生离子;
第一格栅,其位于所述离子化空间与所述等离子体产生源之间;
加速空间,其与所述离子化空间耦合,且被配置成将所述离子输送至所述加速空间中的衬底;
衬底支撑件,其用于在所述加速空间中支撑所述衬底,其中所述衬底支撑件被配置成被偏置;以及
控制器,其配置有用于执行以下操作的指令:
经由将反应性物质导入所述离子化空间中并且施加正偏置至所述衬底支撑件以使所述反应性物质的负离子在所述加速空间中加速至所述衬底;以及
经由将非反应性物质导入所述离子化空间中并且施加负偏置至所述衬底支撑件以使所述非反应性物质的正离子在所述加速空间中加速至所述衬底。
2.根据权利要求1所述的等离子体蚀刻设备,其中所述负偏置的绝对值显著大于所述正偏置。
3.根据权利要求2所述的等离子体蚀刻设备,其中所述正偏置介于约0.5V至约10V之间,且其中所述负偏置介于约-50kV至约-1kV之间。
4.根据权利要求1所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
对于使所述反应性物质的所述负离子加速而言,在所述衬底的材料层上形成反应性层;以及
对于使所述非反应性物质的所述正离子加速而言,蚀刻所述衬底的所述材料层,其中所述材料层包含介电材料或导电材料。
5.根据权利要求1所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
当使所述反应性物质的所述负离子加速时在所述等离子体产生源中点燃等离子体;以及
当使所述非反应性物质的所述正离子加速时使所述等离子体产生源中的等离子体熄灭。
6.根据权利要求5所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
对于使所述反应性物质的所述负离子加速而言,将电子从所述等离子体提取至所述离子化空间,以在所述离子化空间中使所述反应性物质离子化并且形成所述反应性物质的所述负离子。
7.根据权利要求5所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
对于使所述非反应性物质的所述正离子加速而言,使亚稳态物质从所述等离子体扩散至所述离子化空间,以在所述离子化空间中使所述非反应性物质离子化并且形成所述非反应性物质的所述正离子。
8.根据权利要求1所述的等离子体蚀刻设备,其中所述第一格栅被配置成被偏置或接地,且其中所述控制器被进一步配置有用于执行以下操作的指令:
对于使所述负离子加速而言,在所述第一格栅与所述衬底支撑件之间形成弱电场,以及
对于使所述正离子加速而言,在所述第一格栅与所述衬底支撑件之间形成强电场。
9.根据权利要求1-8中的任一项所述的等离子体蚀刻设备,其中所述衬底包含多个高深宽比特征,其具有至少10:1的深度比宽度的深宽比。
10.根据权利要求1-8中的任一项所述的等离子体蚀刻设备,其还包含:
第二格栅,其位于所述离子化空间与所述加速空间之间。
11.根据权利要求10所述的等离子体蚀刻设备,其中所述离子化空间中的压强大于所述加速空间中的压强。
12.根据权利要求10所述的等离子体蚀刻设备,其中所述第二格栅被配置成被偏置。
13.根据权利要求1-8中的任一项所述的等离子体蚀刻设备,其中所述等离子体产生源为电感耦合式等离子体(ICP)反应器或电容耦合式等离子体(CCP)反应器。
14.根据权利要求1-8中的任一项所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
重复且交替进行使所述反应性物质的所述负离子加速和使所述非反应性物质的所述正离子加速的操作。
15.根据权利要求1-8中的任一项所述的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
对于使所述反应性物质的所述负离子加速而言,使所述反应性物质的所述负离子加速达介于约1ms至约10ms之间的第一持续时间,以及
对于使所述非反应性物质的所述正离子加速而言,使所述非反应性物质的所述正离子加速达介于约1ms至约10ms之间的第二持续时间。
16.一种等离子体蚀刻设备,其包含:
等离子体产生源;
离子化空间,其与所述等离子体产生源耦合,且被配置成产生离子;
第一格栅,其位于所述离子化空间与所述等离子体产生源之间;
加速空间,其与所述离子化空间耦合,且被配置成将所述离子输送至所述加速空间中的衬底;
衬底支撑件,其用于在所述加速空间中支撑所述衬底,其中所述衬底支撑件被配置成被偏置;以及
控制器,其配置有用于执行以下操作的指令:
将反应性物质和非反应性物质导入至所述离子化空间;
在所述等离子体产生源中点燃等离子体;
当所述等离子体被点燃时将正偏置施加至所述衬底支撑件,以使所述反应性物质离子化并且形成所述反应性物质的负离子,且使所述反应性物质的所述负离子加速至所述衬底;
使所述等离子体产生源中的所述等离子体熄灭;以及
当所述等离子体熄灭时将负偏置施加至所述衬底支撑件,以使所述非反应性物质离子化并且形成所述非反应性物质的正离子,且使所述非反应性物质的所述正离子加速至所述衬底。
17.根据权利要求16所述的等离子体蚀刻设备,其中所述正偏置介于约0.5V至约10V之间,且其中所述负偏置介于约-50kV至约-1kV之间。
18.根据权利要求16所述的等离子体蚀刻设备,其还包含:
第二格栅,其位于所述离子化空间与所述加速空间之间,其中所述第一格栅被配置成被配置,且所述第二格栅被配置成被偏置,其中所述离子化空间中的压强大于所述加速空间中的压强。
19.根据权利要求16-18中的任一项所述的等离子体蚀刻设备,其中所述等离子体产生源是电感耦合式等离子体(ICP)反应器或电容耦合式等离子体(CCP)反应器。
20.根据权利要求16-18中的任一项的等离子体蚀刻设备,其中所述控制器被进一步配置有用于执行以下操作的指令:
重复且交替进行当所述等离子体被点燃时将所述正偏置施加至所述衬底支撑件和当所述等离子体熄灭时将所述负偏置施加至所述衬底支撑件的操作。
CN202080021021.5A 2019-03-14 2020-03-06 用于高深宽比蚀刻的等离子体蚀刻工具 Pending CN113574628A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962818552P 2019-03-14 2019-03-14
US62/818,552 2019-03-14
PCT/US2020/021520 WO2020185609A1 (en) 2019-03-14 2020-03-06 Plasma etch tool for high aspect ratio etching

Publications (1)

Publication Number Publication Date
CN113574628A true CN113574628A (zh) 2021-10-29

Family

ID=72428057

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080021021.5A Pending CN113574628A (zh) 2019-03-14 2020-03-06 用于高深宽比蚀刻的等离子体蚀刻工具

Country Status (5)

Country Link
US (1) US20220165546A1 (zh)
JP (2) JP7282910B2 (zh)
KR (2) KR102584990B1 (zh)
CN (1) CN113574628A (zh)
WO (1) WO2020185609A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7313929B2 (ja) * 2019-06-26 2023-07-25 住友重機械工業株式会社 負イオン照射装置
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system
US11915910B2 (en) * 2021-03-25 2024-02-27 Tokyo Electron Limited Fast neutral generation for plasma processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541572B (en) * 2001-03-26 2003-07-11 Ebara Corp Method of processing a surface of a workpiece with use of positive and negative ions generated in plasma or neutral particles generated by the positive and negative ions
CN104103510A (zh) * 2013-04-05 2014-10-15 朗姆研究公司 用于半导体制造的内部等离子体格栅
CN104282522A (zh) * 2013-07-11 2015-01-14 朗姆研究公司 具有离子加速器的双室等离子体蚀刻器
CN107464747A (zh) * 2016-04-29 2017-12-12 朗姆研究公司 使用ale和选择性沉积蚀刻衬底

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20090266703A1 (en) * 2005-08-02 2009-10-29 Nan Jiang Plasma generating device and film deposition method in which the plasma generating device is used
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
US9209032B2 (en) * 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9799494B2 (en) * 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US20180059289A1 (en) * 2016-09-01 2018-03-01 Trion Technology Apparatus for plasma processing on optical surfaces and methods of manufacturing and use thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541572B (en) * 2001-03-26 2003-07-11 Ebara Corp Method of processing a surface of a workpiece with use of positive and negative ions generated in plasma or neutral particles generated by the positive and negative ions
CN104103510A (zh) * 2013-04-05 2014-10-15 朗姆研究公司 用于半导体制造的内部等离子体格栅
CN104282522A (zh) * 2013-07-11 2015-01-14 朗姆研究公司 具有离子加速器的双室等离子体蚀刻器
CN107464747A (zh) * 2016-04-29 2017-12-12 朗姆研究公司 使用ale和选择性沉积蚀刻衬底

Also Published As

Publication number Publication date
JP2023103386A (ja) 2023-07-26
KR102584990B1 (ko) 2023-10-04
JP2022525308A (ja) 2022-05-12
JP7282910B2 (ja) 2023-05-29
KR20230144653A (ko) 2023-10-16
TW202105507A (zh) 2021-02-01
US20220165546A1 (en) 2022-05-26
KR20210129722A (ko) 2021-10-28
WO2020185609A1 (en) 2020-09-17

Similar Documents

Publication Publication Date Title
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US9418859B2 (en) Plasma-enhanced etching in an augmented plasma processing system
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US10211065B2 (en) Methods for high precision plasma etching of substrates
KR102279670B1 (ko) 이온 가속기를 갖는 듀얼 챔버 플라즈마 에칭기
KR102361782B1 (ko) 에칭 방법
KR102584990B1 (ko) 고 종횡비 에칭을 위한 플라즈마 에칭 툴
KR102269896B1 (ko) 피처리체를 플라즈마 처리하는 방법
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR20200027568A (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
JP6529357B2 (ja) エッチング方法
JP2007501530A (ja) ナローギャップ容量結合リアクタのrfパルシング技術
TWI484552B (zh) 電漿蝕刻系統與臨場灰化光阻的方法
US20120302065A1 (en) Pulse-plasma etching method and pulse-plasma etching apparatus
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
US20150243485A1 (en) Device for Treating an Object with Plasma
Sirard Introduction to plasma etching
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
TWI806871B (zh) 多孔低介電常數介電蝕刻
TWI759348B (zh) 被處理體之處理方法
KR20140078566A (ko) 선-에칭 일시적인 컨디셔닝을 갖는 에칭 프로세스
KR20220147514A (ko) 기판 처리 방법 및 기판 처리 장치
CN115312382A (zh) 基板处理方法和基板处理装置
JP2005166827A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination