KR102269896B1 - 피처리체를 플라즈마 처리하는 방법 - Google Patents

피처리체를 플라즈마 처리하는 방법 Download PDF

Info

Publication number
KR102269896B1
KR102269896B1 KR1020140126598A KR20140126598A KR102269896B1 KR 102269896 B1 KR102269896 B1 KR 102269896B1 KR 1020140126598 A KR1020140126598 A KR 1020140126598A KR 20140126598 A KR20140126598 A KR 20140126598A KR 102269896 B1 KR102269896 B1 KR 102269896B1
Authority
KR
South Korea
Prior art keywords
gas
pressure
etching
lower electrode
plasma
Prior art date
Application number
KR1020140126598A
Other languages
English (en)
Other versions
KR20150033570A (ko
Inventor
아키타카 시미즈
데츠야 오히시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150033570A publication Critical patent/KR20150033570A/ko
Application granted granted Critical
Publication of KR102269896B1 publication Critical patent/KR102269896B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있는 피처리체의 플라즈마 처리 방법을 제공한다.
일 실시 형태에 따른 피처리체의 플라즈마 처리 방법에 있어서는, 상부 전극과 그 상부 전극에 대향하여 배치되는 하부 전극의 사이에서 생성되는 플라즈마에 의해 처리 용기내에 배치되는 피처리체가 처리된다. 이 방법은, SF6, ClF3, 및 F2 중 적어도 어느 하나를 함유하는 제 1 가스를 처리 용기내에 공급하고, 상기 제 1 가스의 플라즈마를 생성하여, 피처리체의 피에칭층을 에칭하는 에칭 공정과, 하이드로 카본, 플루오로 카본 및 플루오로 하이드로 카본 중 적어도 어느 하나를 함유하는 제 2 가스를 처리 용기내에 공급하고, 상기 제 2 가스의 플라즈마를 생성하여, 피에칭층의 적어도 일부에 제 2 가스에서 유래하는 보호막을 형성하는 제 1 성막 공정을 포함한다. 에칭 공정에 있어서는, 처리 용기내의 압력이 제 1 압력으로 되고 또한 하부 전극에 제 1 바이어스 전력이 인가된다. 제 1 성막 공정에 있어서는, 처리 용기내의 압력이 제 1 압력보다도 낮은 제 2 압력으로 되고 또한 하부 전극에 제 1 바이어스 전력보다도 높은 제 2 바이어스 전력이 인가된다. 그리고, 에칭 공정 및 제 1 성막 공정을 포함하는 시퀀스가 반복하여 실행된다.

Description

피처리체를 플라즈마 처리하는 방법{PLASMA PROCESSING METHOD}
본 발명의 실시 형태는, 피처리체를 플라즈마 처리하는 방법에 관한 것이다.
반도체 디바이스의 제조 프로세스에 있어서는, 피처리체의 피에칭층에 대해서 구멍이나 그루브라고 하는 오목부가 형성되는 경우가 있다. 최근에는, 반도체 장치의 사이즈의 축소화에 따라, 피에칭층에 형성되는 형상의 미세화가 진행되고 있다. 또한, 이들 형상의 종횡비는 점차 높아지고 있고, 보다 깊은 오목부가 피에칭층에 형성되도록 되어 있다.
일반적으로, 피에칭층에 이러한 오목부를 형성할 때에는, 형성하고자 하는 오목부에 대응하는 마스크를 이용하여 피에칭층을 플라즈마 에칭한다. 그러나, 플라즈마 에칭으로 깊은 오목부를 형성하고자 하면, 마스크의 바로 아래로부터 피에칭층의 횡방향으로도 에칭이 진행되어 버려, 높은 종횡비의 오목부를 형성하는 것이 곤란해진다. 그래서, 제 1 가스와 제 2 가스를 교대로 도입하여, 에칭에 의해 형성된 오목부의 벽면을 제 2 가스에서 유래하는 보호막으로 보호하면서 피에칭층의 깊이 방향으로 에칭함으로써, 높은 종횡비의 오목부를 형성하는 플라즈마 처리 방법이 알려져 있다. 이러한 플라즈마 처리 방법은, 특허 문헌 1∼4에 기재되어 있다.
구체적으로는, 특허 문헌 1 및 2에는, 제 1 가스로서 SF6를 이용하여 피에칭층을 에칭하는 공정과, 제 2 가스로서 CCl4를 이용하여 보호막을 형성하는 공정을 반복함으로써 피처리체에 높은 종횡비의 오목부를 형성하는 방법이 기재되어 있다. 또한, 특허 문헌 3에는, 제 1 가스로서 불소 함유 가스를 이용하고, 제 2 가스로서 NH3 가스를 이용하는 것이 기재되어 있다. 특허 문헌 4에는, 제 1 가스로서 불소계 가스를 이용하고, 제 2 가스로서 SiCl4 및 O2의 혼합 가스를 이용하는 것이 기재되어 있다.
(선행 기술 문헌)
(특허 문헌)
특허 문헌 1 : 일본 공보 특개평 제2-105413호 공보
특허 문헌 2 : 일본 특개소 제63-13334호
특허 문헌 3 : 일본 특개소 제60-126835호 공보
특허 문헌 4 : 일본 특개소 제60-154622호 공보
특허 문헌 1∼4에 기재된 방법에서는, 에칭에 의해 형성된 오목부를 규정하는 바닥면에도 보호막이 형성된다. 이 때문에, 보호막의 형성 후에 피에칭층을 에칭할 때에는, 우선 오목부의 바닥면에 형성된 보호막을 제거하고, 그 후에 피에칭층을 깊이 방향으로 에칭하게 된다. 이와 같이, 특허 문헌 1∼4에 기재된 방법에서는, 오목부의 바닥면에 형성된 보호막을 제거하는 공정이 여분으로 필요하므로, 높은 에칭 레이트로 피에칭층의 깊이 방향으로 에칭을 진행시키는 것이 곤란해진다.
따라서, 본 기술 분야에 있어서는, 높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있는 피처리체의 플라즈마 처리 방법이 요청되고 있다.
일 측면에 있어서는, 상부 전극과 그 상부 전극에 대향하여 배치되는 하부 전극의 사이에서 생성되는 플라즈마에 의해 처리 용기내에 배치되는 피처리체를 처리하는 방법이 제공된다. 이 방법은, SF6, ClF3, 및 F2 중 적어도 어느 하나를 함유하는 제 1 가스를 처리 용기내에 공급하고, 상기 제 1 가스의 플라즈마를 생성하여, 피처리체의 피에칭층을 에칭하는 에칭 공정과, 하이드로 카본, 플루오로 카본 및 플루오로 하이드로 카본 중 적어도 어느 하나를 함유하는 제 2 가스를 처리 용기내에 공급하고, 상기 제 2 가스의 플라즈마를 생성하여, 피에칭층의 적어도 일부에 제 2 가스에서 유래하는 보호막을 형성하는 제 1 성막 공정을 포함한다. 에칭 공정에 있어서는, 처리 용기내의 압력이 제 1 압력으로 되고 또한 하부 전극에 제 1 바이어스 전력이 인가된다. 제 1 성막 공정에 있어서는, 처리 용기내의 압력이 제 1 압력보다도 낮은 제 2 압력으로 되고 또한 하부 전극에 제 1 바이어스 전력보다도 높은 제 2 바이어스 전력이 인가된다. 그리고, 에칭 공정 및 제 1 성막 공정을 포함하는 시퀀스가 반복하여 실행된다.
상기 방법에서는, 우선 에칭 공정에 있어서, 제 1 가스의 플라즈마에 의해 피처리체의 피에칭층이 에칭된다. 이 에칭 공정에 의해, 피에칭층에는 측벽 및 바닥면에 의해 규정되는 오목부가 형성된다. 그 다음에, 제 1 성막 공정에 있어서, 제 2 가스의 플라즈마를 생성함으로써 피에칭층의 일부에 보호막이 형성된다. 이 제 1 성막 공정에서는, 처리 용기내의 압력이 상대적으로 낮은 압력인 제 2 압력으로 설정되고, 하부 전극에 상대적으로 높은 바이어스 전력인 제 2 바이어스 전력이 인가된다. 이에 의해, 제 1 성막 공정에서는, 오목부의 바닥면에 보호막이 형성되는 것을 억제하면서, 오목부의 측벽에 보호막이 형성된다. 이것은, 제 1 성막 공정에 있어서, 처리 용기내의 압력이 상대적으로 낮은 압력인 제 2 압력으로 설정되고, 하부 전극에 상대적으로 높은 바이어스 전력이 인가됨으로써, 제 2 가스에 포함되는 하이드로 카본, 플루오로 카본 및 플루오로 하이드로 카본이 해리하여 생기는 고에너지의 이온이 오목부내로 인입되고, 이온의 스퍼터링 효과에 의해 오목부의 바닥면에 형성된 보호막이 선택적으로 제거되는 것에 기인하는 것이다. 따라서, 그 후에 재차 행해지는 에칭 공정에서는, 측벽에 있어서 제 1 가스에서 유래하는 활성종이 보호막에 의해 피에칭층에 접촉하는 것이 방지되고, 오목부의 바닥면에 있어서 제 1 가스에서 유래하는 활성종이 피에칭층의 분자와 활발하게 반응한다. 이 때문에, 상기 방법에서는, 피에칭층의 측방에는 에칭의 진행이 억제되고, 피에칭층의 깊이 방향으로는 에칭이 촉진된다. 또한, 이 에칭 공정에서는, 상대적으로 낮은 바이어스 전력인 제 1 바이어스 전력을 하부 전극에 인가하여 에칭이 행해지므로, 제 1 가스에 포함되는 SF6 , ClF3, 및 F2가 해리하여 생기는 이온에 의해 오목부의 측벽에 형성된 보호막이 제거되는 것이 억제된다. 따라서, 상기 방법에 의하면, 높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있다.
상기 방법의 한 형태에서는, O2 가스가 제 1 가스에 첨가되어도 좋다. 제 1 가스에 O2 가스가 첨가됨으로써, 피에칭층을 에칭할 때에 오목부의 측벽에 실리콘 산화물을 형성할 수 있으므로, 보다 높은 종횡비의 형상을 형성할 수 있다.
상기 방법의 한 형태에서는, 시퀀스는, 에칭 공정과 제 1 성막 공정의 사이에서 행해지는 제 2 성막 공정으로서, 처리 용기내의 압력이 제 1 압력으로 되고 또한 하부 전극에 제 1 바이어스 전력이 인가된 상태에서, 처리 용기내에서 제 2 가스의 플라즈마를 생성하여, 피에칭층의 적어도 일부에 보호막을 형성하는, 상기 제 2 성막 공정과, 제 2 성막 공정과 제 1 성막 공정의 사이에서 행해지는 제 3 성막 공정으로서, 처리 용기내의 압력이 제 1 압력으로 되고 또한 하부 전극에 제 2 바이어스 전력이 인가된 상태에서, 처리 용기내에서 제 2 가스의 플라즈마를 생성하여, 피에칭층의 적어도 일부에 보호막을 형성하는, 상기 제 3 성막 공정을 더 포함해도 좋다.
상기 방법의 제 2 성막 공정에서는, 처리 용기내의 압력이 상대적으로 고압인 제 1 압력으로 설정되고, 또한 하부 전극에 상대적으로 낮은 바이어스 전력인 제 1 바이어스 전력이 인가된다. 이에 의해, 이전 공정인 에칭 공정에 있어서 처리 용기내에 공급되어, 처리 용기내에 잔류한 제 1 가스에서 유래하는 이온에 의해 오목부의 측벽에 형성된 보호막이 제거되는 것을 방지할 수 있다. 또한, 그 후에 행해지는 제 3 성막 공정에서는, 처리 용기내의 압력이 상대적으로 고압인 제 1 압력으로 설정되고, 또한 하부 전극에 상대적으로 높은 바이어스 전력인 제 2 바이어스 전력이 인가된다. 제 3 성막 공정에서는, 이와 같이 처리 용기내의 압력이 비교적 고압으로 설정되므로, 피에칭층에 대한 보호막의 퇴적을 촉진할 수 있다. 또한, 제 3 성막 공정 및 제 1 성막 공정에서는, 상대적으로 높은 바이어스 전력인 제 2 바이어스 전력이 인가되므로, 이온의 스퍼터링 효과에 의해 오목부의 바닥면에 보호막이 형성되는 것을 억제할 수 있다. 따라서, 본 형태에 따른 방법에 의하면, 오목부의 바닥면에 보호막이 형성되는 것을 억제하면서, 오목부의 측벽에 보호막을 형성할 수 있어, 그 결과, 높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있다.
상기 방법의 한 형태에서는, 시퀀스는, 에칭 공정의 전에 행해지는 브레이크 스루(break through) 공정으로서, 처리 용기내의 압력이 제 1 압력으로 되고, 또한 하부 전극에 제 2 바이어스 전력이 인가된 상태에서, 처리 용기내에서 제 1 가스의 플라즈마를 생성하는, 상기 브레이크 스루 공정을 더 포함해도 좋다.
상기 방법의 브레이크 스루 공정에서는, 처리 용기내의 압력이 상대적으로 고압인 제 1 압력으로 설정되고, 또한 하부 전극에 상대적으로 높은 바이어스 전력인 제 2 바이어스 전력이 인가된다. 이러한 브레이크 스루 공정에서는, 제 2 바이어스 전력에 의해, 제 1 가스의 분자가 해리하여 생기는 이온이 오목부내로 인입되므로, 오목부의 바닥면에 잔류한 퇴적물을 세정할 수 있다. 따라서, 후속 공정에서 행해지는 에칭 공정에 있어서의 피에칭층의 깊이 방향으로의 에칭 레이트를 높일 수 있다.
상기 방법의 한 형태에서는, 시퀀스의 반복 도중에서, 브레이크 스루 공정, 제 1 성막 공정, 및 제 3 성막 공정에 있어서, 하부 전극에 인가되는 제 2 바이어스 전력을 증가시켜도 좋다. 또한, 상기 방법의 한 형태에서는, 상기 시퀀스의 반복 도중에서, 상기 제 1 성막 공정, 상기 제 2 성막 공정, 및 상기 제 3 성막 공정에 있어서, 상기 처리 용기내에 공급되는 상기 제 2 가스의 유량을 감소시켜도 좋다.
피에칭층에 높은 종횡비의 에칭을 할 때에, 처리 조건을 일정하게 했을 경우에는, 깊이 방향을 향함에 따라 점점 줄어든 형상의 오목부가 피에칭층에 형성된다. 본 발명자는, 종횡비가 커짐에 따라, 오목부의 바닥면에 공급되는 에칭에 기여하는 활성종의 양이, 오목부의 바닥면에 공급되는 보호막의 형성에 기여하는 활성종의 양에 비해 저하함으로써, 이러한 현상이 생기는 것을 찾아냈다. 본 형태에서는, 시퀀스의 반복 도중에서는, 제 1 성막 공정, 제 2 성막 공정, 및 제 3 성막 공정에 있어서 처리 용기내에 공급되는 제 2 가스의 유량을 감소시키고 있으므로, 오목부의 바닥면에 공급되는 보호막의 형성에 기여하는 활성종의 양을 줄일 수 있다. 이에 의해, 오목부의 형상이 점점 줄어든 형상으로 되는 것을 방지할 수 있다. 또한, 본 형태에서는, 브레이크 스루 공정, 제 1 성막 공정, 및 제 3 성막 공정에 있어서 하부 전극에 인가되는 바이어스 전력을 증가시키고 있으므로, 제 2 가스에서 유래하는 이온에 의한 스퍼터링 효과를 크게 할 수 있다. 이에 의해, 오목부의 바닥면에 보호막이 형성되는 것이 억제된다. 따라서, 본 형태에 따른 방법에 의하면, 높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있다.
상기 방법의 한 형태에서는, 제 2 가스가, CH4, CH3F, C4F6, 및 C4F8 중 적어도 어느 하나를 포함해도 좋다. 이러한 제 2 가스를 이용함으로써, 오목부의 바닥면에 보호막이 형성되는 것을 억제하면서, 오목부의 측벽에 보호막을 형성할 수 있다.
이상 설명한 바와 같이, 본 발명의 각종의 측면 및 각종의 형태에 의하면, 높은 종횡비의 형상을 높은 에칭 레이트로 형성할 수 있는 피처리체의 플라즈마 처리 방법이 제공된다.
도 1은 일 실시 형태에 따른 피처리체를 플라즈마 처리하는 방법을 나타내는 흐름도이다.
도 2는 일 실시 형태의 플라즈마 처리 장치를 개략적으로 나타내는 도면이다.
도 3은 일 실시 형태에 따른 피처리체를 플라즈마 처리하는 방법의 타이밍 차트를 나타내는 도면이다.
도 4는 피처리체의 일부분의 단면도이다.
도 5는 피처리체의 일부분의 단면도이다.
도 6은 실험예에 있어서 이용되는 샘플을 나타내는 도면이다.
이하, 도면을 참조하여 각종의 실시 형태에 대해 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
도 1은, 일 실시 형태에 따른 피처리체를 플라즈마 처리하는 방법을 나타내는 흐름도이다. 도 1에 나타내는 방법 M1에서는, 제 1 가스의 플라즈마를 이용하여 피처리체의 피에칭층을 에칭하는 공정 S2와, 제 2 가스의 플라즈마를 이용하여 피처리체의 피에칭층에 보호막을 형성하는 공정 S3이 반복하여 실행된다.
이하, 도 1에 나타내는 방법 M1의 실시에 이용할 수 있는 플라즈마 처리 장치에 대해 설명한다. 도 2에는, 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 도시되어 있다.
플라즈마 처리 장치(10)는, 처리 용기(12)를 구비하고 있다. 처리 용기(12)는, 대략 원통 형상을 갖고 있고, 그 내부에 처리 공간 S를 규정하고 있다. 처리 용기(12)의 측벽에는, 피처리체 W의 반입출구를 개폐하는 게이트 밸브(30)가 장착되어 있다. 플라즈마 처리 장치(10)는, 이 처리 용기(12)내에, 탑재대(14)를 구비하고 있다. 탑재대(14)는, 처리 공간 S의 아래쪽에 마련되어 있다. 이 탑재대(14)는, 하부 전극(16) 및 정전 척(18)을 갖고 있다. 하부 전극(16)은, 대략 원판 형상을 갖고 있고, 도전성을 갖고 있다. 하부 전극(16)은, 예를 들면, 알루미늄제이다.
하부 전극(16)에는, 고주파 전원(32)이 정합기(34)를 거쳐서 전기적으로 접속되어 있다. 고주파 전원(32)은, 이온 인입용의 소정의 고주파수(예를 들면, 2MHz∼27MHz)의 고주파 전력, 즉 고주파 바이어스 전력을 하부 전극(16)에 인가한다.
일 실시 형태에 있어서는, 하부 전극(16)의 내부에는, 냉매 유로(16p)가 형성되어 있고, 냉매 유로(16p)에는, 냉매 입구 배관, 냉매 출구 배관이 접속될 수 있다. 탑재대(14)는, 냉매 유로(16p)내에 적절한 냉매, 예를 들면 냉각수 등을 순환시키는 것에 의해, 하부 전극(16) 및 정전 척(18)을 소정의 온도로 제어 가능한 구성으로 되어 있다.
플라즈마 처리 장치(10)에서는, 하부 전극(16)의 상면에 정전 척(18)이 마련되어 있다. 정전 척(18)은, 대략 원판 형상의 부재이며, 절연층(18a), 및 급전층(18b)을 갖고 있다. 절연층(18a)은 세라믹 등의 절연체에 의해 형성되는 막이며, 급전층(18b)은, 절연층(18a)의 내층으로서 형성된 도전성의 막이다. 급전층(18b)에는, 스위치 SWT를 거쳐서 직류 전원(56)이 접속되어 있다. 직류 전원(56)으로부터 급전층(18b)에 직류 전압이 인가되면, 쿨롱력이 발생하여, 상기 쿨롱력에 의해 피처리체 W가 정전 척(18)상에 흡착 유지된다.
일 실시 형태에 있어서는, 정전 척(18)의 내부에는, 가열 소자인 히터 HT가 매립되어 있어도 좋다. 본 실시 형태에서는, 정전 척(18)은, 히터 HT에 의해, 피처리체 W를 소정 온도로 가열할 수 있도록 구성되어 있다. 이 히터 HT는, 배선을 거쳐서 히터 전원 HP에 접속되어 있다.
플라즈마 처리 장치(10)는, 가스 공급 라인(58 및 60), 및, 전열 가스 공급부(62 및 64)를 더 구비할 수 있다. 전열 가스 공급부(62)는, 가스 공급 라인(58)에 접속되어 있다. 이 가스 공급 라인(58)은, 정전 척(18)의 상면까지 연장하고, 상기 상면의 중앙 부분에 있어서 고리 형상으로 연장하고 있다. 전열 가스 공급부(62)는, 예를 들면 He 가스라고 하는 전열 가스를, 정전 척(18)의 상면과 피처리체 W의 사이에 공급한다. 또한, 전열 가스 공급부(64)는 가스 공급 라인(60)에 접속되어 있다. 가스 공급 라인(60)은, 정전 척(18)의 상면까지 연장하여, 상기 상면에 있어서 가스 공급 라인(58)을 둘러싸도록 고리 형상으로 연장하고 있다. 전열 가스 공급부(64)는, 예를 들면, He 가스라고 하는 전열 가스를, 정전 척(18)의 상면과 피처리체 W의 사이에 공급한다.
플라즈마 처리 장치(10)는, 실린더 형상 유지부(20) 및 실린더 형상 지지부(22)를 더 구비할 수 있다. 실린더 형상 유지부(20)는, 하부 전극(16)의 측면 및 바닥면의 가장자리부에 접하여, 상기 하부 전극(16)을 유지하고 있다. 실린더 형상 지지부(22)는, 처리 용기(12)의 바닥면으로부터 수직 방향으로 연장하여, 실린더 형상 유지부(20)를 거쳐서 하부 전극(16)을 지지하고 있다. 플라즈마 처리 장치(10)는, 이 실린더 형상 유지부(20)의 상면에 탑재되는 포커스 링 FR을 더 구비할 수 있다. 포커스 링 FR은, 예를 들면, 석영으로 구성될 수 있다.
일 실시 형태에 있어서는, 처리 용기(12)의 측벽과 실린더 형상 지지부(22)의 사이에는, 배기로(24)가 마련되어 있다. 배기로(24)의 입구 또는 그 도중에는, 배플판(25)이 장착되어 있다. 또한, 배기로(24)의 바닥면에는, 배기구(26a)가 마련되어 있다. 배기구(26a)는, 처리 용기(12)의 바닥면에 삽입된 배기관(26)에 의해 규정되어 있다. 이 배기관(26)에는, 배기 장치(28)가 접속되어 있다. 배기 장치(28)는, 진공 펌프를 갖고 있고, 처리 용기(12)내의 처리 공간 S를 소정의 진공도까지 감압할 수 있다.
플라즈마 처리 장치(10)는, 처리 용기(12)내에 샤워 헤드(38)를 더 구비하고 있다. 샤워 헤드(38)는, 처리 공간 S의 위쪽에 마련되어 있다. 샤워 헤드(38)는, 상부 전극(40) 및 전극 지지체(42)를 포함하고 있다.
상부 전극(40)은, 대략 원판 형상을 가지는 도전성의 판이다. 상부 전극(40)에는, 복수의 가스 환기구멍(40h)이 형성되어 있다. 상부 전극(40)은, 전극 지지체(42)에 의해 착탈 가능하게 지지되어 있다. 전극 지지체(42)의 내부에는, 버퍼실(42a)이 마련되어 있다. 플라즈마 처리 장치(10)는, 가스 공급부(44)를 더 구비하고 있고, 버퍼실(42a)의 가스 도입구(42b)에는 가스 공급도관(46)을 거쳐서 가스 공급부(44)가 접속되어 있다. 가스 공급부(44)는, 처리 공간 S에 제 1 가스, 제 2 가스, 및 제 3 가스를 공급할 수 있다.
일 실시 형태에 있어서는, 가스 공급부(44)는, 가스원(70a), 밸브(70b), 유량 제어기(70c), 가스원(72a), 밸브(72b), 유량 제어기(72c), 가스원(74a), 및, 밸브(74b), 유량 제어기(74c)를 갖고 있다. 가스원(70a)은, 제 1 가스의 가스원이다. 이 제 1 가스는, 플라즈마 처리 장치(10)이 피에칭층, 즉, 다결정 실리콘층용을 에칭하기 위한 가스이며, 일 실시 형태에 있어서는, SF6, ClF3 및 F2 중 적어도 어느 하나를 함유하는 가스이다. 가스원(70a)은, 밸브(70b) 및 매스 플로우 콘트롤러라고 하는 유량 제어기(70c)를 거쳐서, 가스 공급도관(46)에 접속되어 있다.
가스원(72a)은, 제 2 가스의 가스원이다. 이 제 2 가스는, 피에칭층인 다결정 실리콘층에 대해서 퇴적물을 형성하기 위한 가스이다. 제 2 가스는, 하이드로 카본, 플루오로 카본 및 플루오로 하이드로 카본 중 적어도 어느 하나를 함유하는 가스이다. 일 실시 형태에서는, CH4, CH3F, C4F6, 및 C4F8 중 적어도 어느 하나를 함유하는 가스일 수 있다. 가스원(72a)은, 밸브(72b) 및 매스 플로우 콘트롤러라고 하는 유량 제어기(72c)를 거쳐서, 가스 공급도관(46)에 접속되어 있다.
또한, 가스원(74a)은, 제 3 가스의 가스원이다. 이 제 3 가스는, 제 1 가스에 첨가될 수 있는 가스이며, 일 실시 형태에 있어서는, O2 가스이다. 가스원(74a)은, 밸브(74b) 및 매스 플로우 콘트롤러라고 하는 유량 제어기(74c)를 거쳐서, 가스 공급도관(46)에 접속되어 있다.
전극 지지체(42)에는, 복수의 가스 환기구멍(40h)에 각각 연속하는 복수의 구멍이 형성되어 있고, 상기 복수의 구멍은 버퍼실(42a)에 연통하고 있다. 따라서, 가스 공급부(44)로부터 공급되는 가스는, 버퍼실(42a), 가스 환기구멍(40h)을 경유하여, 처리 공간 S에 공급된다.
또한, 상부 전극(40)에는, 고주파 전원(35)이 정합기(36)를 거쳐서 전기적으로 접속되어 있다. 고주파 전원(35)은, 일 실시 형태에 있어서는, 플라즈마 생성용의 소정의 고주파수(예를 들면, 27MHz 이상)의 고주파 전력을 상부 전극(40)에 인가한다. 고주파 전원(35)에 의해 상부 전극(40)에 고주파 전력이 각각 인가되면, 서로 대향하여 배치되는 하부 전극(16)과 상부 전극(40)의 사이의 공간, 즉, 처리 공간 S에는 고주파 전계가 형성되어, 제 1 가스 및 제 2 가스의 플라즈마가 여기된다. 따라서, 일 실시 형태에 있어서는, 하부 전극(16), 상부 전극(40), 및 고주파 전원(35)은, 일 실시 형태에 있어서, 플라즈마를 발생시키는 수단을 구성하고 있다.
일 실시 형태에 있어서는, 처리 용기(12)의 천정부에, 고리 형상 또는 동심원 형상으로 연장하는 자장 형성 기구(48)가 마련되어 있다. 이 자장 형성 기구(48)는, 처리 공간 S에 있어서의 고주파 방전의 개시(플라즈마 착화)를 용이하게 하여 방전을 안정하게 유지하도록 기능한다.
또한, 일 실시 형태에 있어서는, 플라즈마 처리 장치(10)는, 제어부(66)를 더 구비하고 있다. 이 제어부(66)는, 배기 장치(28), 스위치 SWT, 고주파 전원(32), 정합기(34), 고주파 전원(35), 정합기(36), 가스 공급부(44), 전열 가스 공급부(62 및 64), 및 히터 전원 HP에 접속되어 있다. 제어부(66)는, 배기 장치(28), 스위치 SWT, 고주파 전원(32), 정합기(34), 고주파 전원(35), 정합기(36), 가스 공급부(44), 전열 가스 공급부(62 및 64), 및 히터 전원 HP의 각각에 제어 신호를 송출한다. 제어부(66)로부터의 제어 신호에 의해, 배기 장치(28)에 의한 배기, 스위치 SWT의 개폐, 고주파 전원(32)으로부터의 전력 공급, 정합기(34)의 임피던스 조정, 고주파 전원(35)으로부터의 전력 공급, 정합기(36)의 임피던스 조정, 가스 공급부(44)에 의한 제 1 가스, 제 2 가스, 및 첨가 가스의 공급 및 그들의 유량, 전열 가스 공급부(62 및 64) 각각에 의한 전열 가스의 공급, 히터 전원 HP로부터의 전력 공급이 제어된다.
이 플라즈마 처리 장치(10)에서는, 피처리체 W를 처리하기 위해서, 가스원(70a, 72a, 74a) 중 선택된 1 이상의 가스원으로부터 처리 용기(12)내에 가스가 공급된다. 그리고, 상부 전극(40)에 플라즈마 생성용의 고주파 전력이 인가됨으로써, 하부 전극(16)과 상부 전극(40)의 사이에 고주파 전계가 발생한다. 이 고주파 전계에 의해, 처리 공간 S내에 공급된 가스의 플라즈마가 생성된다. 그리고, 이와 같이 발생하는 가스의 플라즈마에 의해, 피처리체 W의 피에칭층에 대한 에칭이라고 하는 처리가 행해진다. 또한, 하부 전극(16)에 고주파 바이어스 전력이 인가됨으로써 이온이 피처리체 W로 인입된다. 이에 의해, 피처리체 W의 피에칭층의 에칭이 촉진된다.
다시 도 1을 참조한다. 이하, 상술한 플라즈마 처리 장치(10)를 이용하여 실시할 수 있는 방법 M1에 대해, 도 1에 부가하여 도 3∼도 5를 참조하여, 보다 상세하게 설명한다. 도 3은, 방법 M1의 각 공정을 구체적으로 설명하기 위한 타이밍 차트이다. 또한, 도 4 및 도 5에는, 피처리체 W의 일부분의 단면이 도시되어 있다.
도 1에 나타내는 방법 M1에서는, 우선, 공정 S1에 있어서 피처리체 W가 마련된다. 이 피처리체 W는, 도 4(a)에 나타내는 바와 같이, 피에칭층 EL 및 마스크 M을 갖고 있다. 피에칭층 EL은, 예를 들면 다결정 실리콘층이다. 마스크 M은, 피에칭층 EL상에 형성되어 있고, 예를 들면 질화 실리콘 또는 산화 실리콘으로 구성되어 있다.
그 다음에, 방법 M1에 있어서는, 피처리체 W의 피에칭층 EL을 에칭하는 공정 S2가 행해진다. 공정 S2는, 공정 S2a(브레이크 스루 공정) 및 공정 S2b(에칭 공정)를 포함하고 있다. 공정 S2a에 있어서는, 피처리체 W를 플라즈마 처리 장치(10)의 정전 척(18)상에 탑재하여, 피처리체 W의 피에칭층 EL을 세정한다. 피에칭층 EL의 세정은, 처리 용기(12)내에서, 가스원(70a)으로부터 공급되는 제 1 가스의 플라즈마를 생성하여, 상기 플라즈마에 도 4(a)에 나타낸 피처리체 W를 노출시킴으로써 실시된다. 제 1 가스로서는, 예를 들면, SF6, ClF3, F2 중 적어도 어느 하나를 함유하는 가스가 이용된다.
공정 S2a에 있어서의 처리 조건에 대해 설명한다. 공정 S2a에 있어서는, 도 3에 나타내는 바와 같이, 가스원(70a)으로부터 제 1 가스를 유량 gfe1로 공급하면서, 배기 장치(28)에 의해 처리 용기(12)내를 배기함으로써, 처리 용기(12)내의 압력이 제 1 압력 PR1로 설정된다. 제 1 가스로서 SF6를 이용했을 경우에는, 유량 gfe1은, 예를 들면, 200sccm 정도로 할 수 있다. 일 실시 형태에서는, 제 1 압력 PR1은, 예를 들면 150mTorr 이상의 압력으로 할 수 있다. 또한, 공정 S2a에 있어서는, 고주파 전원(35)으로부터 플라즈마 생성용의 고주파 전력 HF1이 상부 전극(40)에 인가되고, 고주파 전원(32)으로부터 제 2 바이어스 전력 LF2가 하부 전극(16)에 인가된다. 이 고주파 전력 HF1은, 예를 들면 3000W 정도로 할 수 있다. 제 2 바이어스 전력 LF2는, 예를 들면 100W 이상의 바이어스 전력이며, 일 실시 형태에서는 500W 정도로 할 수 있다.
계속되는 공정 S2b에서는, 피처리체 W의 피에칭층 EL을 에칭함으로써 오목부가 형성된다. 공정 S2b에 있어서의 피에칭층 EL의 에칭은, 처리 용기(12)내에서, 가스원(70a)으로부터 공급되는 제 1 가스의 플라즈마를 생성하여, 상기 플라즈마에 공정 S2a의 세정이 행해진 피처리체 W를 노출시킴으로써 실시된다. 일 실시 형태에 있어서는, 공정 S2에 있어서, 제 3 가스인 O2 가스가 제 1 가스에 첨가되어도 좋다. O2 가스가 제 1 가스에 첨가됨으로써, 피에칭층 EL을 에칭할 때에 피에칭층 EL에 실리콘 산화물이 형성되므로, 보다 높은 종횡비의 오목부를 형성할 수 있다.
공정 S2b에 있어서의 처리 조건에 대해 설명한다. 공정 S2b에 있어서는, 가스원(70a)으로부터 제 1 가스를 유량 gfe1로 공급하면서, 배기 장치(28)에 의해 처리 용기(12)내를 배기함으로써, 처리 용기(12)내의 압력이 제 1 압력 PR1로 설정된다. 또한, 공정 S2b에 있어서는, 고주파 전원(35)으로부터 고주파 전력 HF1이 상부 전극(40)에 인가되고, 고주파 전원(32)으로부터 제 1 바이어스 전력 LF1이 하부 전극(16)에 인가된다. 이 제 1 바이어스 전력 LF1은, 제 2 바이어스 전력 LF2보다도 낮은 고주파 바이어스 전력이며, 그 전력은, 예를 들면 50W 정도로 할 수 있다.
이 공정 S2b에 의해, 도 4(b)에 나타내는 바와 같이, 제 1 가스의 해리에 의해 발생하는 불소 이온이나 불소 래디칼이라고 하는 불소의 활성종(도면 중, 원으로 둘러싸인 「F」가 불소의 활성종을 나타내고 있음)이, 피에칭층의 실리콘과 반응하여, 피에칭층 EL이 에칭된다. 이하에서는, 공정 S2b에 의해 형성된 오목한 형상의 영역을 에칭 영역 ER이라고 칭한다. 에칭 영역 ER은, 측벽 SW 및 바닥면 BS에 의해 규정되어 있다.
그 다음에, 방법 M1에서는, 피에칭층 EL의 표면의 적어도 일부에 보호막 PF을 형성하는 공정 S3이 행해진다. 공정 S3은, 공정 S3a(제 2 성막 공정 : 증착 공정), 공정 S3b(제 3 성막 공정 : 증착 공정), 및 공정 S3c(제 1 성막 공정 : 형성 공정)를 포함하고 있다. 공정 S3a, 공정 S3b, 및 공정 S3c에 있어서의 보호막 PF의 형성은, 처리 용기(12)내에서 가스원(72a)으로부터 공급되는 제 2 가스의 플라즈마를 생성하여, 상기 플라즈마에 공정 S2의 에칭이 행해진 피처리체 W를 노출시킴으로써 실시된다.
공정 S3a에 있어서의 처리 조건에 대해 설명한다. 공정 S3a에 있어서는, 도 3에 나타내는 바와 같이, 가스원(72a)으로부터 제 2 가스를 유량 gfd1로 공급하면서, 배기 장치(28)에 의해 처리 용기(12)내를 배기함으로써, 처리 용기(12)내의 압력이 제 1 압력 PR1로 설정된다. 예를 들면, 제 2 가스로서 C4F8 가스 및 C4F6 가스를 함유하는 가스를 이용했을 경우에는, 유량 gfd1은, 예를 들면 330sccm(C4F8 가스의 유량: 300sccm, C4F6 가스의 유량: 30sccm) 정도로 할 수 있다. 또한, 공정 S3a에 있어서는, 고주파 전원(35)으로부터 고주파 전력 HF2가 상부 전극(40)에 인가되고, 고주파 전원(32)으로부터 제 1 바이어스 전력 LF1이 하부 전극(16)에 인가된다. 이 고주파 전력 HF2는, 예를 들면 1500W 정도로 할 수 있다.
다음에, 공정 S3b에 있어서의 처리 조건에 대해 설명한다. 공정 S3b에 있어서는, 도 3에 나타내는 바와 같이, 가스원(72a)으로부터 제 2 가스를 유량 gfd1로 공급하면서, 배기 장치(28)에 의해 처리 용기(12)내를 배기함으로써, 처리 용기(12)내의 압력이 제 1 압력 PR1로 설정된다. 또한, 공정 S3b에 있어서는, 고주파 전원(35)으로부터 고주파 전력 HF2가 상부 전극(40)에 인가되고, 고주파 전원(32)으로부터 제 2 바이어스 전력 LF2가 하부 전극(16)에 인가된다. 즉, 공정 S3a로부터 공정 S3b로 이행함으로써, 하부 전극(16)에 인가되는 바이어스 전력이 제 1 바이어스 전력 LF1로부터 제 2 바이어스 전력 LF2로 증가된다.
이 공정 S3a 및 공정 S3b에서는, 제 2 가스로부터 해리한 하이드로 카본, 플루오로 카본, 또는 플루오로 하이드로 카본의 활성종이 피에칭층 EL에 퇴적한다. 이에 의해, 측벽 SW 및 바닥면 BS에 보호막 PF이 형성된다. 또한, 공정 S3a 및 공정 S3b에서는, 처리 용기(12)내가 상대적으로 고압인 제 1 압력 PR1로 설정됨으로써, 보호막 PF의 형성이 촉진된다. 또한, 공정 S3a에서는, 상대적으로 낮은 바이어스 전력인 제 1 바이어스 전력 LF1이 인가됨으로써, 처리 용기(12)내에 잔류한 불소의 활성종에 의해 보호막 PF이 제거되는 것이 방지된다.
다음에, 공정 S3c에 있어서의 처리 조건에 대해 설명한다. 공정 S3c에서는, 도 3에 나타내는 바와 같이, 가스원(72a)으로부터 제 2 가스를 유량 gfd1로 공급하면서, 배기 장치(28)에 의해 처리 용기(12)내를 배기함으로써, 처리 용기(12)내의 압력이 제 2 압력 PR2로 설정된다. 이 제 2 압력 PR2는, 제 1 압력 PR1보다도 낮은 압력이며, 일 실시 형태에서는, 75mTorr 이하의 압력으로 할 수 있다. 또한, 공정 S3c에 있어서는, 고주파 전원(35)으로부터 제 2 고주파 전력 HF2가 상부 전극(40)에 인가되고, 고주파 전원(32)으로부터 제 2 바이어스 전력 LF2가 하부 전극(16)에 인가된다. 즉, 공정 S3b로부터 공정 S3c로 이행함으로써, 처리 용기(12)내의 압력이 제 1 압력 PR1로부터 제 2 압력 PR2로 저하된다.
이 공정 S3c에서는, 처리 용기(12)내의 압력이 상대적으로 낮은 압력인 제 2 압력 PR2로 설정되고, 하부 전극(16)에 상대적으로 높은 바이어스 전력인 LF2가 인가된다. 이러한 공정 S3c에서는, 제 2 가스에 포함되는 하이드로 카본, 플루오로 카본, 또는 플루오로 하이드로 카본의 활성종이 퇴적함으로써, 측벽 SW 및 바닥면 BS에 보호막 PF이 형성된다. 또한, 이와 함께 공정 S3c에서는, 도 4(c)에 나타내는 바와 같이, 제 2 가스중의 하이드로 카본, 플루오로 카본, 또는 플루오로 하이드로 카본이 해리하여 생긴 고에너지의 이온(도면 중, 원은 하이드로 카본, 플루오로 카본, 또는 플루오로 하이드로 카본이 해리하여 생긴 이온을 나타내고 있음)이 에칭 영역 ER내에 수직으로 인입된다. 이에 의해, 고에너지의 이온의 바닥면 BS에 충돌하여, 이온 스퍼터링 효과에 의해, 바닥면 BS에 형성된 보호막이 제거된다. 따라서, 공정 S3c에 있어서는, 바닥면 BS에 있어서 보호막 PF의 형성이 억제된다. 또한, 상술한 바와 같이 처리 조건이 설정된 공정 S3c에 있어서는, 바닥면 BS에 있어서, 이온의 스퍼터링에 의한 보호막 PF의 제거 작용이 보호막 PF의 퇴적 속도보다도 우위로 된다. 이에 의해, 바닥면 BS에 있어서 보호막 PF이 형성되지 않고 다결정 실리콘층이 노출된다.
한편, 측벽 SW에 있어서는, 보호막 PF에 충돌하는 이온의 양이 바닥면 BS에 형성된 보호막 PF에 충돌하는 이온의 양보다 적기 때문에, 보호막 PF의 퇴적 속도가 이온의 스퍼터링에 의한 보호막의 제거 작용보다도 우위로 되어, 보호막 PF이 형성되게 된다. 따라서, 이 공정 S3c에 의해, 도 4(c)에 나타내는 바와 같이, 바닥면 BS에 보호막 PF이 형성되는 일 없이, 측벽 SW에 보호막 PF이 형성된다.
그리고, 방법 M1에서는, 공정 S2가 더 행해짐으로써, 피에칭층 EL이 에칭된다. 즉, 공정 S2a가 행해짐으로써, 바닥면 BS가 세정된다. 또한, 공정 S2b가 행해짐으로써, 도 5(a)에 나타내는 바와 같이, 다결정 실리콘층이 노출한 바닥면 BS가 깊이 방향으로 에칭된다. 이 때, 측벽 SW 중 보호막 PF이 형성된 부분은 에칭의 진행이 행해지지 않는다. 그 후, 도 5(b)에 나타내는 바와 같이, 공정 S3이 더 행해짐으로써, 측벽 SW에 보호막 PF이 형성된다. 계속해서, 도 1에 나타내는 바와 같이, 소정 사이클 수의 공정 S2 및 공정 S3의 반복이 행해졌는지 여부가 판정된다(도 1의 참조 부호 S4). 공정 S2 및 공정 S3의 반복 횟수가 소정 사이클 수에 못 미칠 때에는, 공정 S2 및 공정 S3이 더 행해진다. 한편, 공정 S2 및 공정 S3의 반복 횟수가 소정 사이클 수 행해지고 있는 경우에는, 방법 M1이 종료한다. 이와 같이 공정 S2 및 공정 S3이 소정 사이클 수 반복됨으로써, 도 5(c)에 나타내는 바와 같은 높은 종횡비의 에칭 영역 ER이 형성된다.
이상 설명한 바와 같이, 방법 M1의 공정 S3c에서는, 처리 용기(12)내의 압력이 상대적으로 낮은 압력인 제 2 압력 PR2로 설정되고, 하부 전극(16)에 상대적으로 높은 바이어스 전력인 제 2 바이어스 전력 LF2가 인가된다. 이에 의해, 제 2 가스에 포함되는 하이드로 카본, 플루오로 카본, 또는 플루오로 하이드로 카본이 해리하여 생기는 이온이 높은 에너지로 에칭 영역 ER내로 인입된다. 그리고, 이온의 스퍼터링 효과에 의해 바닥면 BS에 형성된 보호막이 선택적으로 제거된다. 이에 의해, 공정 S3c에서는, 바닥면 BS에 보호막 PF이 형성되는 것이 억제되면서, 측벽 SW에 보호막 PF이 형성된다. 그리고, 그 후에 공정 S2b가 행해짐으로써, 측벽 SW에 있어서 에칭에 기여하는 불소의 활성종이 피에칭층 EL에 접촉하는 것이 보호막 PF에 의해 방지되고, 바닥면 BS에 있어서는 불소의 활성종이 피에칭층 EL의 실리콘과 활발하게 반응한다. 이 때문에, 방법 M1에서는, 피에칭층 EL의 측방에는 에칭의 진행이 억제되고, 피에칭층 EL의 깊이 방향으로는 에칭이 촉진된다. 또한, 이 공정 S2b에서는, 상대적으로 낮은 바이어스 전력인 제 1 바이어스 전력 LF1를 하부 전극(16)에 인가하여 에칭이 행해지므로, 불소의 이온에 의해 측벽 SW에 형성된 보호막 PF이 제거되는 것이 억제된다. 따라서, 방법 M1에 의하면, 높은 종횡비의 오목부를 높은 에칭 레이트로 형성할 수 있다.
또한, 일 실시 형태에 있어서는, 공정 S2 및 공정 S3을 포함하는 시퀀스의 반복 도중에서, 공정 S2a, 공정 S3b, 및 공정 S3c에 있어서, 하부 전극(16)에 인가되는 제 2 바이어스 전력을 점차적으로 증가시켜도 좋다. 또한, 일 실시 형태에 있어서는, 공정 S3a, 공정 S3b, 및 공정 S3c에 있어서, 처리 용기내에 공급되는 제 2 가스의 유량을 점차적으로 감소시켜 가도 좋다. 예를 들면, 에칭 영역 ER의 종횡비가 소정의 값을 넘은 이후에는, 공정 S2a, 공정 S3b, 및 공정 S3c에 있어서 하부 전극(16)에 인가되는 제 2 바이어스 전력이 최종적으로 1000W로 되도록, 하부 전극(16)에 인가되는 바이어스 전력을 점차적으로 증가시켜도 좋다. 또한, 그와 함께, 공정 S3a, 공정 S3b, 및 공정 S3c에 있어서 처리 용기(12)내에 공급되는 제 2 가스의 유량을 330sccm으로부터 305sccm(C4F8 가스의 유량: 300sccm, C4F6 가스의 유량: 5sccm)이 되도록, 처리 용기(12)내에 공급되는 제 2 가스의 유량을 점차적으로 감소시켜도 좋다.
피에칭층 EL에 높은 종횡비의 오목부를 형성할 때에, 처리 조건을 일정하게 했을 경우에는, 깊이 방향을 향함에 따라 점점 줄어든 형상의 에칭 영역 ER이 피에칭층 EL에 형성되는 경향이 있다. 이것은, 종횡비가 커짐에 따라, 바닥면 BS에 공급되는 에칭에 기여하는 활성종의 양이, 바닥면 BS에 공급되는 보호막 PF의 형성에 기여하는 활성종의 양에 비해 저하하는 것에 기인하여 생기는 현상이다. 이와 같이, 시퀀스의 반복 도중에서는, 공정 S3a, 공정 S3b, 및 공정 S3c에 있어서 처리 용기(12)내에 공급되는 제 2 가스의 유량을 감소시키는 것에 의해, 바닥면 BS에 공급되는 보호막 PF의 형성에 기여하는 활성종의 양을 줄일 수 있으므로, 에칭 영역 ER의 형상이 점점 줄어든 형상으로 되는 것을 방지할 수 있다. 또한, 공정 S2a, 공정 S3b, 및 공정 S3c에 있어서 하부 전극(16)에 인가되는 바이어스 전력을 증가시킴으로써, 제 2 가스에서 유래하는 이온에 의한 스퍼터링 효과를 크게 할 수 있다. 이에 의해, 바닥면 BS에 보호막 PF이 형성되는 것이 보다 억제된다. 따라서, 이러한 실시 형태에 의하면, 높은 종횡비의 오목부를 높은 에칭 레이트로 형성할 수 있다.
이하, 상술한 각종의 실시 형태의 방법을 이용한 실험예에 대해 설명한다.
실험예에서는, 처리 용기(12)내의 압력 및 하부 전극(16)에 인가되는 바이어스 전력을 변화시켰을 때의 오목부의 벽면에 형성되는 보호막 PF의 막 두께에 대해 평가했다. 실험예에서는, 도 6에 나타내는 바와 같은, 깊이 100μm의 구멍이 형성된 샘플에 대해서 보호막 PF을 형성했다. 그리고, 실험예의 처리 후의 피에칭층 EL의 단면의 SEM 사진을 취득하고, 구멍의 측벽 SW의 최하부의 영역 A, 및 구멍의 바닥면 BS상의 영역 B에 형성된 보호막 PF의 두께를 관측했다. 실험예에서는, 이하와 같은 조건 하에서, 피에칭층 EL의 표면에 보호막을 형성했다. 실험예에서는, 처리 용기(12)내에 공급하는 제 2 가스로서 C4F8 가스를 이용했다.
(실험예의 처리 조건)
상부 전극(40)에 인가되는 고주파 전력: 2000W
C4F8 가스의 유량: 200sccm
처리 시간: 360초
실험예는, 처리 용기(12)내의 압력을 50mTorr, 100mTorr로 변화시켜, 하부 전극(16)에 인가되는 바이어스 전력을 0W, 100W, 200W로 변화시킨 후에 실시했다. 그 결과, 처리 용기(12)내의 압력을 50mTorr로 하고, 하부 전극(16)에 인가되는 바이어스 전력을 100W로 했을 경우, 및 처리 용기(12)내의 압력을 50mTorr로 하고, 하부 전극(16)에 인가되는 바이어스 전력을 200W로 했을 경우에는, 영역 B에 보호막 PF이 형성되지 않는 것이 확인되었다. 또한, 이 경우에도, 측벽 SW상에 위치하는 영역 A에는 충분한 두께의 보호막 PF이 형성되는 것이 확인되었다. 한편, 처리 용기(12)내의 압력을 50mTorr로 하고, 하부 전극(16)에 인가되는 바이어스 전력을 0W로 했을 경우, 및 처리 용기(12)내의 압력을 100mTorr로 하고, 하부 전극(16)에 인가되는 바이어스 전력을 0W, 100W, 혹은 200W로 했을 경우에는, 영역 B에 영역 A보다도 두꺼운 보호막 PF이 형성되는 것이 확인되었다. 이 실험예의 결과로부터, 처리 용기(12)내를 저압으로 설정하고, 또한 하부 전극(16)에 큰 바이어스 전력을 인가함으로써, 피에칭층 EL의 바닥면 BS에 보호막 PF이 형성되는 것을 억제하면서, 측벽 SW에 보호막 PF을 형성할 수 있는 것이 확인되었다.
이상, 각종의 실시 형태에 대해 설명해 왔지만, 상술한 실시 형태로 한정되는 일 없이 각종의 변형 형태를 구성할 수 있다. 예를 들면, 방법 M1의 실시에 이용하는 플라즈마 처리 장치는, 상술한 플라즈마 처리 장치(10)로 한정되는 것은 아니다. 방법 M1의 실시에는, 하부 전극에 플라즈마 생성용의 고주파 전력을 공급하는 타입의 플라즈마 처리 장치를 이용하는 것도 가능하다. 또한, 평행 평판형의 플라즈마 처리 장치 외에, 유도 결합형의 플라즈마 처리 장치, 또는, 플라즈마원으로서 마이크로파를 이용하는 플라즈마 처리 장치를, 방법 M1의 실시에 이용하는 것도 가능하다.
또한, 방법 M1에 있어서는, 공정 S2a, 공정 S3a, 및 공정 S3b는 부가적인 공정이며 필수의 공정은 아니다. 적어도 공정 S2b 및 공정 S3c가 행해지면, 피에칭층 EL의 바닥면 BS에 보호막 PF이 형성되는 것을 억제하면서 측벽 SW에 보호막 PF을 형성하는 것이 가능하다.
10 : 플라즈마 처리 장치 12 : 처리 용기
16 : 하부 전극 32 : 고주파 전원
35 : 고주파 전원 40 : 상부 전극
44 : 가스 공급부 66 : 제어부
70a, 72a, 74a : 가스원 BS : 바닥면
EL : 피에칭층 ER : 에칭 영역
M : 마스크 PF : 보호막
S : 처리 공간 SW : 측벽
W : 피처리체

Claims (13)

  1. 상부 전극과 그 상부 전극에 대향하여 배치되는 하부 전극의 사이에서 생성되는 플라즈마에 의해 처리 용기내에 배치되는 피처리체를 처리하는 방법으로서, 상기 방법은,
    SF6, ClF3, 및 F2 중 적어도 어느 하나를 함유하는 제 1 가스를 처리 용기내에 공급하고, 상기 제 1 가스의 플라즈마를 생성하여, 상기 피처리체의 피에칭층을 에칭하는 에칭 공정과,
    하이드로 카본, 플루오로 카본 및 플루오로 하이드로 카본 중 적어도 어느 하나를 함유하는 제 2 가스를 상기 처리 용기내에 공급하고, 상기 제 2 가스의 플라즈마를 생성하여, 상기 피에칭층의 적어도 일부에 보호막을 형성하는 제 1 성막 공정
    을 포함하며,
    상기 에칭 공정에 있어서는, 상기 처리 용기내의 압력이 제 1 압력으로 되고, 또한 상기 하부 전극에 제 1 바이어스 전력이 인가되고,
    상기 제 1 성막 공정에 있어서는, 상기 처리 용기내의 압력이 상기 제 1 압력보다도 낮은 제 2 압력으로 되고, 또한 상기 하부 전극에 상기 제 1 바이어스 전력보다도 높은 제 2 바이어스 전력이 인가되고,
    상기 에칭 공정 및 상기 제 1 성막 공정을 포함하는 시퀀스가 반복하여 실행되고,
    상기 시퀀스는,
    상기 에칭 공정과 상기 제 1 성막 공정의 사이에서 행해지는 제 2 성막 공정으로서, 상기 처리 용기내의 압력이 상기 제 1 압력으로 되고 또한 상기 하부 전극에 상기 제 1 바이어스 전력이 인가된 상태에서, 상기 처리 용기내에서 상기 제 2 가스의 플라즈마를 생성하여, 상기 피에칭층의 적어도 일부에 보호막을 형성하는 상기 제 2 성막 공정과,
    상기 제 2 성막 공정과 상기 제 1 성막 공정의 사이에서 행해지는 제 3 성막 공정으로서, 상기 처리 용기내의 압력이 상기 제 1 압력으로 되고 또한 상기 하부 전극에 상기 제 2 바이어스 전력이 인가된 상태에서, 상기 처리 용기내에서 상기 제 2 가스의 플라즈마를 생성하여, 상기 피에칭층의 적어도 일부에 보호막을 형성하는 상기 제 3 성막 공정을 더 포함하는
    방법.
  2. 제 1 항에 있어서,
    상기 에칭 공정에 있어서, O2 가스가 상기 제 1 가스에 첨가되는
    방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 시퀀스는, 상기 에칭 공정의 전에, 상기 처리 용기내의 압력이 제 1 압력으로 되고 또한 상기 하부 전극에 상기 제 2 바이어스 전력이 인가된 상태에서, 상기 처리 용기내에서 상기 제 1 가스의 플라즈마를 생성하여, 상기 피에칭층을 세정하는 공정을 더 포함하는
    방법.
  5. 제 4 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 하부 전극에 인가되는 제 2 바이어스 전력을 증가시키는
    방법.
  6. 제 4 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 처리 용기내에 공급되는 상기 제 2 가스의 유량을 감소시키는
    방법.
  7. 삭제
  8. 제 5 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 처리 용기내에 공급되는 상기 제 2 가스의 유량을 감소시키는
    방법.
  9. 제 2 항에 있어서,
    상기 시퀀스는, 상기 에칭 공정의 전에, 상기 처리 용기내의 압력이 제 1 압력으로 되고 또한 상기 하부 전극에 상기 제 2 바이어스 전력이 인가된 상태에서, 상기 처리 용기내에서 상기 제 1 가스의 플라즈마를 생성하여, 상기 피에칭층을 세정하는 공정을 더 포함하는
    방법.
  10. 제 9 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 하부 전극에 인가되는 제 2 바이어스 전력을 증가시키는
    방법.
  11. 제 9 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 처리 용기내에 공급되는 상기 제 2 가스의 유량을 감소시키는
    방법.
  12. 제 10 항에 있어서,
    상기 시퀀스의 반복 도중에서,
    상기 처리 용기내에 공급되는 상기 제 2 가스의 유량을 감소시키는
    방법.
  13. 청구항 1, 청구항 2, 청구항 4 내지 6 및 청구항 8 내지 청구항 12 중 어느 한 항에 있어서,
    상기 제 2 가스가, CH4, CH3F, C4F6, 및 C4F8 중 적어도 어느 하나를 포함하는
    방법.
KR1020140126598A 2013-09-24 2014-09-23 피처리체를 플라즈마 처리하는 방법 KR102269896B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013196901A JP6207947B2 (ja) 2013-09-24 2013-09-24 被処理体をプラズマ処理する方法
JPJP-P-2013-196901 2013-09-24

Publications (2)

Publication Number Publication Date
KR20150033570A KR20150033570A (ko) 2015-04-01
KR102269896B1 true KR102269896B1 (ko) 2021-06-25

Family

ID=52690004

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140126598A KR102269896B1 (ko) 2013-09-24 2014-09-23 피처리체를 플라즈마 처리하는 방법

Country Status (5)

Country Link
US (1) US9139901B2 (ko)
JP (1) JP6207947B2 (ko)
KR (1) KR102269896B1 (ko)
CN (1) CN104465365B (ko)
TW (1) TWI605515B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
JP6476418B2 (ja) * 2016-02-04 2019-03-06 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
JPWO2017159512A1 (ja) * 2016-03-17 2019-01-24 日本ゼオン株式会社 プラズマエッチング方法
KR102576706B1 (ko) * 2016-04-15 2023-09-08 삼성전자주식회사 반도체 소자의 제조 방법
CN108573867B (zh) * 2017-03-13 2020-10-16 北京北方华创微电子装备有限公司 硅深孔刻蚀方法
US10361091B2 (en) 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6948181B2 (ja) * 2017-08-01 2021-10-13 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008544514A (ja) 2005-06-14 2008-12-04 アルカテル−ルーセント 処理チャンバ内の圧力を制御する方法
US20120309194A1 (en) * 2011-06-06 2012-12-06 Lam Research Corporation Method for providing high etch rate
JP2013021192A (ja) * 2011-07-12 2013-01-31 Tokyo Electron Ltd プラズマエッチング方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626199B2 (ja) 1983-12-14 1994-04-06 株式会社日立製作所 エッチング方法
JPH0612767B2 (ja) 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
CN100517595C (zh) * 2004-07-02 2009-07-22 株式会社爱发科 蚀刻方法和系统
JP4512533B2 (ja) * 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
JP4936709B2 (ja) * 2005-11-25 2012-05-23 東京エレクトロン株式会社 プラズマエッチング方法および半導体装置の製造方法
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
US8987140B2 (en) * 2011-04-25 2015-03-24 Applied Materials, Inc. Methods for etching through-silicon vias with tunable profile angles
US8652969B2 (en) * 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US8691698B2 (en) * 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008544514A (ja) 2005-06-14 2008-12-04 アルカテル−ルーセント 処理チャンバ内の圧力を制御する方法
US20120309194A1 (en) * 2011-06-06 2012-12-06 Lam Research Corporation Method for providing high etch rate
JP2013021192A (ja) * 2011-07-12 2013-01-31 Tokyo Electron Ltd プラズマエッチング方法

Also Published As

Publication number Publication date
CN104465365A (zh) 2015-03-25
JP2015065215A (ja) 2015-04-09
KR20150033570A (ko) 2015-04-01
CN104465365B (zh) 2017-07-11
TW201521111A (zh) 2015-06-01
JP6207947B2 (ja) 2017-10-04
US9139901B2 (en) 2015-09-22
US20150083580A1 (en) 2015-03-26
TWI605515B (zh) 2017-11-11

Similar Documents

Publication Publication Date Title
KR102269896B1 (ko) 피처리체를 플라즈마 처리하는 방법
JP6719602B2 (ja) 材料改質とrfパルスを用いた選択的エッチング
JP6235981B2 (ja) 被処理体を処理する方法
KR101744625B1 (ko) 에칭 방법
KR20190026589A (ko) 에칭 방법
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR102122205B1 (ko) 에칭 방법 및 플라즈마 처리 장치
JP6366454B2 (ja) 被処理体を処理する方法
JP6529357B2 (ja) エッチング方法
EP3086356B1 (en) Method for etching organic film
JP2014057057A (ja) 増強プラズマ処理システム内でのプラズマ強化エッチング
JP6833657B2 (ja) 基板をプラズマエッチングする方法
US20220165546A1 (en) Plasma etch tool for high aspect ratio etching
TW202139787A (zh) 基板處理方法及基板處理裝置
US11328909B2 (en) Chamber conditioning and removal processes
TWI841698B (zh) 用於高深寬比蝕刻的電漿蝕刻工具
JP2024013628A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant