CN104465365B - 等离子体处理方法 - Google Patents

等离子体处理方法 Download PDF

Info

Publication number
CN104465365B
CN104465365B CN201410495671.XA CN201410495671A CN104465365B CN 104465365 B CN104465365 B CN 104465365B CN 201410495671 A CN201410495671 A CN 201410495671A CN 104465365 B CN104465365 B CN 104465365B
Authority
CN
China
Prior art keywords
mentioned
gas
pressure
treatment vessel
film formation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410495671.XA
Other languages
English (en)
Other versions
CN104465365A (zh
Inventor
清水昭贵
大石哲也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104465365A publication Critical patent/CN104465365A/zh
Application granted granted Critical
Publication of CN104465365B publication Critical patent/CN104465365B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供能够以高蚀刻速率形成深径比高的形状的等离子体处理方法,该方法包括:蚀刻工序,将含有SF6、ClF3和F2中的至少一种的第一气体供给到处理容器内,生成第一气体的等离子体来对被处理体的被蚀刻层进行蚀刻;第一成膜工序,将含有碳氢化合物、碳氟化合物和氟代烃中的至少一种的第二气体供给到处理容器内,生成第二气体的等离子体来在被蚀刻层的至少一部分上形成由来于第二气体的保护膜。在蚀刻工序中,将处理容器内的压力设为第一压力且对下部电极施加第一偏置电力。在第一成膜工序中,将处理容器内的压力设为低于第一压力的第二压力且对下部电极施加高于第一偏置电力的第二偏置电力。重复执行包括蚀刻工序和第一成膜工序的序列。

Description

等离子体处理方法
技术领域
本发明的实施方式涉及一种对被处理体进行等离子体处理的方法。
背景技术
在半导体器件的制造工艺中,有时在被处理体的被蚀刻层形成孔、槽之类的凹部。近年来,随着半导体装置的尺寸缩小化,形成于被蚀刻层的形状的微细化取得进展。另外,这些形状的深径比(aspect ratio)逐渐提高,使得更深的凹部形成于被蚀刻层。
一般来说,在被蚀刻层形成这种凹部时,使用与要形成的凹部对应的掩模(mask)对被蚀刻层进行等离子体蚀刻。但是,当想要通过等离子体蚀刻来形成深的凹部时,会导致从掩模的正下方起在被蚀刻层的横向上也进行蚀刻,从而难以形成深径比高的凹部。因此,已知如下等离子体处理方法:交替地导入第一气体和第二气体,一边使用由来于第二气体的保护膜来保护通过蚀刻而形成的凹部的壁面,一边在被蚀刻层的深度方向上进行蚀刻,由此形成深径比高的凹部。在专利文献1~4中记载了这种等离子体处理方法。
具体地说,在专利文献1和2中记载了通过重复进行以下工序来对被处理体形成深径比高的凹部的方法:使用SF6作为第一气体来对被蚀刻层进行蚀刻的工序;以及使用CCl4作为第二气体来形成保护膜的工序。另外,在专利文献3中记载了使用含氟气体作为第一气体,使用NH3气体作为第二气体。在专利文献4中记载了使用氟系气体作为第一气体,使用SiCl4与O2的混合气体作为第二气体。
专利文献1:日本公报特开平2-105413号公报
专利文献2:日本特开昭63-13334号
专利文献3:日本特开昭60-126835号公报
专利文献4:日本特开昭60-154622号公报
发明内容
发明要解决的问题
在专利文献1~4所记载的方法中,在对通过蚀刻而形成的凹部进行划定的底面上也形成保护膜。因此,在形成保护膜之后对被蚀刻层进行蚀刻时,首先将形成于凹部的底面的保护膜去除,之后在深度方向上对被蚀刻层进行蚀刻。这样,在专利文献1~4所记载的方法中,额外地需要将形成于凹部的底面的保护膜去除的工序,因此难以以高蚀刻速率(etching rate)在被蚀刻层的深度方向上进行蚀刻。
因而,在本技术领域中,要求一种能够以高蚀刻速率来形成深径比(aspectratio,是指深度与孔径之比)高的形状的被处理体的等离子体处理方法。
用于解决问题的方案
在一个方面中,提供一种等离子体处理方法,该等离子体处理方法通过在上部电极与同该上部电极相对配置的下部电极之间生成的等离子体来对配置在处理容器内的被处理体进行处理。该方法包括:蚀刻工序,将含有SF6、ClF3以及F2中的至少一种的第一气体供给到处理容器内,生成该第一气体的等离子体来对被处理体的被蚀刻层进行蚀刻;以及第一成膜工序,将含有碳氢化合物(hydrocarbon)、碳氟化合物(fluorocarbon)以及氟代烃(fluorohydrocarbon)中的至少一种的第二气体供给到处理容器内,生成该第二气体的等离子体来在被蚀刻层的至少一部分上形成由来于第二气体的保护膜。在蚀刻工序中,将处理容器内的压力设为第一压力,并且对下部电极施加第一偏置电力。在第一成膜工序中,将处理容器内的压力设为低于第一压力的第二压力,并且对下部电极施加高于第一偏置电力的第二偏置电力。而且,重复执行包括蚀刻工序和第一成膜工序的序列(sequence)。
在上述方法中,首先在蚀刻工序中,通过第一气体的等离子体对被处理体的被蚀刻层进行蚀刻。通过该蚀刻工序,在被蚀刻层形成由侧壁和底面划分而成的凹部。接着,在第一成膜工序中,通过生成第二气体的等离子体来在被蚀刻层的一部分上形成保护膜。在该第一成膜工序中,将处理容器内的压力设定为作为相对低的压力的第二压力,对下部电极施加作为相对高的偏置电力的第二偏置电力。由此,在第一成膜工序中,一边抑制在凹部的底面上形成保护膜,一边在凹部的侧壁上形成保护膜。这是由以下原因引起的:在第一成膜工序中,将处理容器内的压力设定为作为相对低的压力的第二压力,对下部电极施加相对高的偏置电力,由此将第二气体中包含的碳氢化合物、碳氟化合物以及氟代烃离解而产生的高能量离子引入到凹部内,通过离子的溅射效果,形成于凹部的底面的保护膜被选择性地去除。因而,在此后再次进行的蚀刻工序中,在侧壁上通过保护膜来防止由来于第一气体的活性种与被蚀刻层接触,由来于第一气体的活性种在凹部的底面与被蚀刻层的分子活跃地起反应。因此,在上述方法中,在被蚀刻层的侧方向上抑制蚀刻的进行,在被蚀刻层的深度方向上促进蚀刻。此外,在该蚀刻工序中,将作为相对低的偏置电力的第一偏置电力施加于下部电极来进行蚀刻,因此抑制形成于凹部的侧壁的保护膜由于第一气体中包含的SF6、ClF3以及F2离解而产生的离子被去除。因而,根据上述方法,能够以高蚀刻速率来形成深径比高的形状。
在上述方法的一个方式中,也可以在上述第一气体中添加O2气体。通过将O2气体添加到第一气体中,在对被蚀刻层进行蚀刻时能够在凹部的侧壁形成硅氧化物,因此能够形成深径比更高的形状。
在上述方法的一个方式中,序列还可以包括:第二成膜工序,其为在蚀刻工序与第一成膜工序之间进行的工序,在该第二成膜工序中,在将处理容器内的压力设为第一压力并且对下部电极施加第一偏置电力的状态下,在处理容器内生成第二气体的等离子体来在被蚀刻层的至少一部分上形成保护膜;以及第三成膜工序,其为在第二成膜工序与第一成膜工序之间进行的工序,在该第三成膜工序中,在将处理容器内的压力设为第一压力并且对下部电极施加第二偏置电力的状态下,在处理容器内生成第二气体的等离子体来在被蚀刻层的至少一部分上形成保护膜。
在上述方法的第二成膜工序中,将处理容器内的压力设定为压力相对高的第一压力,并且对下部电极施加作为相对低的偏置电力的第一偏置电力。由此,能够防止形成于凹部的侧壁的保护膜由于由来于第一气体的离子被去除,该第一气体是在作为前一工序的蚀刻工序中供给到处理容器内并残留在处理容器内的。另外,在此后进行的第三成膜工序中,将处理容器内的压力设定为压力相对高的第一压力,并且对下部电极施加作为相对高的偏置电力的第二偏置电力。在第三成膜工序中,这样将处理容器内的压力设定为比较高的压力,因此能够促进保护膜在被蚀刻层上的沉积。另外,在第三成膜工序和第一成膜工序中,施加作为相对高的偏置电力的第二偏置电力,因此能够利用离子的溅射效果来抑制在凹部的底面上形成保护膜。因而,根据本方式所涉及的方法,能够一边抑制在凹部的底面上形成保护膜、一边在凹部的侧壁上形成保护膜,其结果,能够以高蚀刻速率来形成深径比高的形状。
在上述方法的一个方式中,序列还可以包括突破(break through)工序,其为在蚀刻工序之前进行的工序,在该突破工序中,在将处理容器内的压力设为第一压力并且对下部电极施加第二偏置电力的状态下,在处理容器内生成第一气体的等离子体。通常,在进行蚀刻时在凹部底部残留有SiO2等沉积物的情况下无法进行形状美观的蚀刻,突破工序是指用于去除所残留的SiO2等沉积物的工序,例如是实施方式中说明的清洁工序。
在上述方法的突破工序中,将处理容器内的压力设定为压力相对高的第一压力,并且对下部电极施加作为相对高的偏置电力的第二偏置电力。在这种突破工序中,第一气体的分子离解而产生的离子通过第二偏置电力被引入到凹部内,因此能够清洁残留在凹部的底面的沉积物。因而,能够提高在后续工序中进行的蚀刻工序中的在被蚀刻层的深度方向上的蚀刻速率。
在上述方法的一个方式中,也可以从序列的重复中途起,在突破工序、第一成膜工序以及第三成膜工序中使施加于下部电极的第二偏置电力增加。另外,在上述方法的一个方式中,也可以从上述序列的重复中途起,在上述第一成膜工序、上述第二成膜工序以及上述第三成膜工序中使供给到上述处理容器内的上述第二气体的流量减少。
在对被蚀刻层进行深径比高的蚀刻时,在使处理条件固定的情况下,在被蚀刻层形成随着去向深度方向而前端变细的形状的凹部。本发明的发明人发现了这种现象是由于以下原因而产生的:随着深径比变大,与供给到凹部的底面的有助于形成保护膜的活性种的量相比,供给到凹部的底面的有助于蚀刻的活性种的量降低。在本方式中,从序列的重复中途起在第一成膜工序、第二成膜工序以及第三成膜工序中使供给到处理容器内的第二气体的流量减少,因此能够使供给到凹部的底面的有助于形成保护膜的活性种的量减少。由此,能够防止凹部的形状变为前端变细的形状。另外,在本方式中,在突破工序、第一成膜工序以及第三成膜工序中使施加于下部电极的偏置电力增加,因此能够增强由来于第二气体的离子所产生的溅射效果。由此,抑制在凹部的底面上形成保护膜。因而,根据本方式所涉及的方法,能够以高蚀刻速率来形成深径比高的形状。
在上述方法的一个方式中,第二气体也可以包含CH4、CH3F、C4F6以及C4F8中的至少一种。通过使用这样的第二气体,能够一边抑制在凹部的底面上形成保护膜,一边在凹部的侧壁上形成保护膜。
发明的效果
如上所述,根据本发明的各种方面和各种方式,提供一种能够以高蚀刻速率来形成深径比高的形状的被处理体的等离子体处理方法。
附图说明
图1是表示一个实施方式所涉及的对被处理体进行等离子体处理的方法的流程图。
图2是概要地表示一个实施方式的等离子体处理装置的图。
图3是表示一个实施方式所涉及的对被处理体进行等离子体处理的方法的时序图的图。
图4是被处理体的局部剖面图。
图5是被处理体的局部剖面图。
图6是表示使用于实验例1的样品的图。
附图标记说明
10:等离子体处理装置;12:处理容器;16:下部电极;32:高频电源;35:高频电源;40:上部电极;44:气体供给部;66:控制部;70a、72a、74a:气体源;BP:底面;EL:被蚀刻层;ER:蚀刻区域;M:掩模;PM:保护膜;S:处理空间;SW:侧壁;W:被处理体。
具体实施方式
下面,参照附图来详细说明各种实施方式。此外,在各图中对相同或者相当的部分附加相同的标记。
图1是表示一个实施方式所涉及的对被处理体进行等离子体处理的方法的流程图。在图1所示的方法M1中,重复执行工序S2和工序S3,在该工序S2中,使用第一气体的等离子体对被处理体的被蚀刻层进行蚀刻,在该工序S3中,使用第二气体的等离子体在被处理体的被蚀刻层上形成保护膜。
下面,说明能够用于实施图1所示的方法M1的等离子体处理装置。图2是概要地表示一个实施方式的等离子体处理装置的图。在图2中概要地示出了等离子体处理装置10的剖面构造。
等离子体处理装置10具备处理容器12。处理容器12具有大致圆筒形状,在其内部划分而形成有处理空间S。在处理容器12的侧壁安装有闸阀(gate valve)30,该闸阀30打开或关闭被处理体W的搬入搬出口。等离子体处理装置10在该处理容器12内具备载置台14。载置台14被设置于处理空间S的下方。该载置台14具有下部电极16和静电卡盘18。下部电极16具有大致圆板形状,具有导电性。下部电极16例如由铝制成。
高频电源32经由匹配器34而与下部电极16电连接。高频电源32将离子引入用的规定高频率(例如2MHz~27MHz)的高频电力、即高频偏置电力施加于下部电极16。
在一个实施方式中,在下部电极16的内部形成有制冷剂流路16p,在制冷剂流路16p上能够连接制冷剂入口配管、制冷剂出口配管。载置台14构成为能够通过使适当的制冷剂、例如冷却水等在制冷剂流路16p中循环来将下部电极16和静电卡盘18控制为规定的温度。
在等离子体处理装置10中,在下部电极16的上表面设置有静电卡盘18。静电卡盘18为大致圆板状的构件,具有绝缘层18a和供电层18b。绝缘层18a为由陶瓷等绝缘体形成的膜,供电层18b为形成为绝缘层18a的内层的导电性膜。供电层18b上经由开关SWT连接有直流电源56。当从直流电源56对供电层18b供给直流电压时,产生库仑力,通过该库仑力,被处理体W被吸附保持在静电卡盘18上。
在一个实施方式中,也可以在静电卡盘18的内部嵌入作为加热元件的加热器HT。在本实施方式中,静电卡盘18构成为能够通过加热器HT将被处理体W加热到规定温度。该加热器HT经由布线而与加热器电源HP连接。
等离子体处理装置10还能够具备气体供给管线58和60以及导热气体供给部62和64。导热气体供给部62与气体供给管线58相连接。该气体供给管线58延伸到静电卡盘18的上表面,在该上表面的中央部分以环状延伸。导热气体供给部62例如将He气体之类的导热气体供给到静电卡盘18的上表面与被处理体W之间。另外,导热气体供给部64与气体供给管线60相连接。气体供给管线60延伸到静电卡盘18的上表面,在该上表面以包围气体供给管线58的方式环状地延伸。导热气体供给部64例如将He气体之类的导热气体供给到静电卡盘18的上表面与被处理体W之间。
等离子体处理装置10还能够具备筒状保持部20和筒状支承部22。筒状保持部20与下部电极16的侧面及底面的边缘部相接触来保持该下部电极16。筒状支承部22从处理容器12的底面起在垂直方向上延伸,借助筒状保持部20而支承下部电极16。等离子体处理装置10还能够具备载置在该筒状保持部20的上表面的聚焦环(focus ring)FR。聚焦环FR例如能够由石英构成。
在一个实施方式中,在处理容器12的侧壁与筒状支承部22之间设置有排气通路24。在排气通路24的入口或者其中途安装有挡板25。另外,在排气通路24的底面设置有排气口26a。排气口26a由嵌入到处理容器12的底面的排气管26划分而成。在该排气管26上连接有排气装置28。排气装置28具有真空泵,能够将处理容器12内的处理空间S减压到规定的真空度。
等离子体处理装置10在处理容器12内还具备喷头(shower head)38。喷头38被设置于处理空间S的上方。喷头38包括上部电极40和电极支承体42。
上部电极40为具有大致圆板形状的导电性板。在上部电极40上形成有多个气体通气孔40h。上部电极40能够装卸地支承于电极支承体42。在电极支承体42的内部设置有缓冲室42a。等离子体处理装置10还具备气体供给部44,缓冲室42a的气体导入口42b上经由气体供给导管46连接有气体供给部44。气体供给部44能够将第一气体、第二气体以及第三气体供给到处理空间S。
在一个实施方式中,气体供给部44具有气体源70a、阀70b、流量控制器70c、气体源72a、阀72b、流量控制器72c、气体源74a以及阀74b、流量控制器74c。气体源70a为第一气体的气体源。该第一气体为用于等离子体处理装置10对被蚀刻层、即多晶硅层进行蚀刻的气体,在一个实施方式中,该第一气体为含有SF6、ClF3以及F2中的至少一种的气体。气体源70a经由阀70b和质量流量控制器(mass flow controller)之类的流量控制器70c而与气体供给导管46相连接。
气体源72a为第二气体的气体源。该第二气体为用于在作为被蚀刻层的多晶硅层上形成沉积物的气体。第二气体为含有碳氢化合物、碳氟化合物以及氟代烃中的至少一种的气体。在一个实施方式中,该第二气体可以是含有CH4、CH3F、C4F6以及C4F8中的至少一种的气体。气体源72a经由阀72b和质量流量控制器之类的流量控制器72c而与气体供给导管46相连接。
另外,气体源74a为第三气体的气体源。该第三气体为能够添加到第一气体中的气体,在一个实施方式中为O2气体。气体源74a经由阀74b和质量流量控制器之类的流量控制器74c而与气体供给导管46相连接。
在电极支承体42上形成有与多个气体通气孔40h分别连续的多个孔,上述多个孔与缓冲室42a连通。因而,从气体供给部44供给的气体经由缓冲室42a、气体通气孔40h被供给到处理空间S。
另外,上部电极40上经由匹配器36电连接有高频电源35。在一个实施方式中,高频电源35对上部电极40施加等离子体生成用的规定高频率(例如27MHz以上)的高频电力。当通过高频电源35对上部电极40分别施加高频电力时,在相对配置的下部电极16与上部电极40之间的空间、即处理空间S中形成高频电场,第一气体和第二气体的等离子体被激发。因而,在一个实施方式中,下部电极16、上部电极40以及高频电源35构成用于产生等离子体的单元。
在一个实施方式中,在处理容器12的顶部设置有以环状或者同心状延伸的磁场形成机构48。该磁场形成机构48以使处理空间S内的高频放电容易开始(产生等离子体)并稳定地维持放电的方式发挥功能。
并且,在一个实施方式中,等离子体处理装置10还具备控制部66。该控制部66与排气装置28、开关SWT、高频电源32、匹配器34、高频电源35、匹配器36、气体供给部44、导热气体供给部62和64以及加热器电源HP相连接。控制部66向排气装置28、开关SWT、高频电源32、匹配器34、高频电源35、匹配器36、气体供给部44、导热气体供给部62和64以及加热器电源HP分别送出控制信号。根据来自控制部66的控制信号,来控制由排气装置28进行的排气、开关SWT的开闭、从高频电源32的电力供给、匹配器34的阻抗调整、从高频电源35的电力供给、匹配器36的阻抗调整、由气体供给部44进行的第一气体、第二气体以及添加气体的供给及这些气体的流量、由导热气体供给部62和64各自进行的导热气体的供给、从加热器电源HP的电力供给。
在该等离子体处理装置10中,为了对被处理体W进行处理而从气体源70a、72a、74a中的被选择的一个以上的气体源向处理容器12内供给气体。然后,通过向上部电极40施加等离子体生成用的高频电力来在下部电极16与上部电极40之间产生高频电场。通过该高频电场,生成被供给到处理空间S内的气体的等离子体。然后,通过这样产生的气体的等离子体来进行对被处理体W的被蚀刻层的蚀刻之类的处理。另外,通过对下部电极16施加高频偏置电力来将离子引入被处理体W。由此,促进被处理体W的被蚀刻层的蚀刻。
再次参照图1。下面,除了图1以外还参照图3~图5来更详细地说明能够使用上述等离子体处理装置10来实施的方法M1。图3是用于具体地说明方法M1的各工序的时序图。此外,在图4和图5中示出了被处理体W的局部剖面。
在图1所示的方法M1中,首先,在工序S1中准备被处理体W。如图4的(a)所示,该被处理体W具有被蚀刻层EL和掩模M。被蚀刻层EL例如是多晶硅层。掩模M形成在被蚀刻层EL上,例如由氮化硅或者氧化硅构成。
接着,在方法M1中进行工序S2,在该工序S2中,对被处理体W的被蚀刻层EL进行蚀刻。工序S2包括工序S2a(突破(break through)工序)和工序S2b(蚀刻工序)。在工序S2a中,将被处理体W载置在等离子体处理装置10的静电卡盘18上,对被处理体W的被蚀刻层EL进行清洁。通过如下方式实施被蚀刻层EL的清洁:在处理容器12内生成从气体源70a供给的第一气体的等离子体,将图4的(a)所示的被处理体W暴露在该等离子体中。作为第一气体,例如使用含有SF6、ClF3、F2中的至少一种的气体。
说明工序S2a中的处理条件。在工序S2a中,如图3所示,一边从气体源70a以流量gfe1来供给第一气体,一边通过排气装置28对处理容器12内进行排气,由此将处理容器12内的压力设定为第一压力PR1。在使用SF6作为第一气体的情况下,能够将流量gfe1例如设为200sccm左右。在一个实施方式中,能够将第一压力PR1例如设为150mTorr以上的压力。另外,在工序S2a中,从高频电源35向上部电极40施加等离子体生成用的高频电力HF1,从高频电源32向下部电极16施加第二偏置电力LF2。能够将该高频电力HF1例如设为3000W左右。第二偏置电力LF2例如为100W以上的偏置电力,在一个实施方式中能够设为500W左右。
在后续的工序S2b中,通过对被处理体W的被蚀刻层EL进行蚀刻来形成凹部。通过如下方式实施工序S2b中的被蚀刻层EL的蚀刻:在处理容器12内生成从气体源70a供给的第一气体的等离子体,将进行了工序S2a的清洁的被处理体W暴露在该等离子体中。在一个实施方式中,在工序S2中也可以将作为第三气体的O2气体添加到第一气体中。通过将O2气体添加到第一气体中来在对被蚀刻层EL进行蚀刻时在被蚀刻层EL上形成硅氧化物,因此能够形成深径比更高的形状。
说明工序S2b中的处理条件。在工序S2b中,一边从气体源70a以流量gfe1来供给第一气体,一边通过排气装置28对处理容器12内进行排气,由此将处理容器12内的压力设定为第一压力PR1。另外,在工序S2b中,从高频电源35向上部电极40施加高频电力HF1,从高频电源32向下部电极16施加第一偏置电力LF1。该第一偏置电力LF1为低于第二偏置电力LF2的高频偏置电力,能够将该电力例如设为50W左右。
通过该工序S2b,如图4的(b)所示,因第一气体离解而产生的氟离子、氟自由基之类的氟的活性种(在附图中,用圆圈围住的“F”表示氟的活性种)与被蚀刻层的硅起反应,对被蚀刻层EL进行蚀刻。下面,将通过工序S2b形成的凹状的区域称为蚀刻区域ER。蚀刻区域ER由侧壁SW和底面BP划分而成。
接着,在方法M1中进行工序S3,在工序S3中,在被蚀刻层EL的表面的至少一部分上形成保护膜PM。工序S3包括工序S3a(第二成膜工序)、工序S3b(第三成膜工序)以及工序S3c(第一成膜工序)。通过如下方式实施工序S3a、工序S3b以及工序S3c中的保护膜PM的形成:在处理容器12内生成从气体源72a供给的第二气体的等离子体,将进行了工序S2的蚀刻的被处理体W暴露在该等离子体中。
说明工序S3a中的处理条件。在工序S3a中,如图3所示,一边从气体源72a以流量gfd1来供给第二气体,一边通过排气装置28对处理容器12内进行排气,由此将处理容器12内的压力设定为第一压力PR1。例如在使用含有C4F8气体和C4F6气体的气体作为第二气体的情况下,能够将流量gfd1例如设为330sccm(C4F8气体的流量:300sccm,C4F6气体的流量:30sccm)左右。另外,在工序S3a中,从高频电源35向上部电极40施加高频电力HF2,从高频电源32向下部电极16施加第一偏置电力LF1。能够将该高频电力HF2例如设为1500W左右。
接着,说明工序S3b中的处理条件。在工序S3b中,如图3所示,一边从气体源72a以流量gfd1来供给第二气体,一边通过排气装置28对处理容器12内进行排气,由此将处理容器12内的压力设定为第一压力PR1。另外,在工序S3b中,从高频电源35向上部电极40施加高频电力HF2,从高频电源32向下部电极16施加第二偏置电力LF2。即,通过从工序S3a过渡到工序S3b来将施加于下部电极16的偏置电力从第一偏置电力LF1增加到第二偏置电力LF2。
在该工序S3a和工序S3b中,从第二气体离解出的碳氢化合物、碳氟化合物或者氟代烃的活性种沉积于被蚀刻层EL。由此,在侧壁SW和底面BP上形成保护膜PM。另外,在工序S3a和工序S3b中,将处理容器12内设定为作为相对高的压力的第一压力PR1,由此促进保护膜PM的形成。此外,在工序S3a中,通过施加作为相对低的偏置电力的第一偏置电力LF1来防止保护膜PM由于残留在处理容器12内的氟的活性种而被去除。
接着,说明工序S3c中的处理条件。在工序S3c中,如图3所示,一边从气体源72a以流量gfd1来供给第二气体,一边通过排气装置28对处理容器12内进行排气,由此将处理容器12内的压力设定为第二压力PR2。该第二压力PR2为低于第一压力PR1的压力,在一个实施方式中,能够将该第二压力PR2设为75mTorr以下的压力。另外,在工序S3c中,从高频电源35向上部电极40施加第二高频电力HF2,从高频电源32向下部电极16施加第二偏置电力LF2。即,通过从工序S3b过渡到工序S3c来使处理容器12内的压力从第一压力PR1下降至第二压力PR2。
在该工序S3c中,将处理容器12内的压力设定为作为相对低的压力的第二压力PR2,对下部电极16施加作为相对高的偏置电力的LF2。在这样的工序S3c中,第二气体中包含的碳氢化合物、碳氟化合物或者氟代烃的活性种沉积,由此在侧壁SW和底面BP上形成保护膜PM。另外,与此同时在工序S3c中,如图4的(c)所示,第二气体中的碳氢化合物、碳氟化合物或者氟代烃离解而产生的高能量离子(在附图中,圆圈表示碳氢化合物、碳氟化合物或者氟代烃离解而产生的离子)被垂直地引入到蚀刻区域ER内。由此,高能量离子与底面BP碰撞,通过离子溅射效果,形成于底面BP的保护膜被去除。因此,在工序S3c中,抑制在底面BP上形成保护膜PM。此外,在如上述那样设定了处理条件的工序S3c中,在底面BP上,离子溅射对保护膜PM的去除作用比保护膜PM的沉积速度更占优势。由此,在底面BP上不会形成保护膜PM而露出多晶硅层。
另一方面,在侧壁SW上,与保护膜PM碰撞的离子的量比与形成于底面BP的保护膜PM碰撞的离子的量少,因此保护膜PM的沉积速度比离子溅射对保护膜的去除作用更占优势,从而在侧壁SW上形成保护膜PM。因而,通过该工序S3c,如图4的(c)所示,在侧壁SW上形成保护膜PM,而在底面BP上不形成保护膜PM。
然后,在方法M1中,通过再进行工序S2来对被蚀刻层EL进行蚀刻。即,通过进行工序S2a来对底面BP进行清洁。另外,通过进行工序S2b,如图5的(a)所示,在深度方向上对露出了多晶硅层的底面BP进行蚀刻。此时,不对侧壁SW中形成有保护膜PM的部分进行蚀刻。之后,如图5的(b)所示,通过再进行工序S3来在侧壁SW上形成保护膜PM。接着,如图1所示,判断是否重复进行了规定循环数的工序S2和工序S3(图1的参照标记S4)。在工序S2和工序S3的重复次数小于规定循环数时,再进行工序S2和工序S3。另一方面,在工序S2和工序S3重复进行了规定循环数的情况下,结束方法M1。通过这样将工序S2和工序S3重复规定循环数,从而形成图5的(c)所示的深径比高的蚀刻区域ER。
如上所述,在方法M1的工序S3c中,将处理容器12内的压力设定为作为相对低的压力的第二压力PR2,对下部电极16施加作为相对高的偏置电力的第二偏置电力LF2。由此,第二气体中包含的碳氢化合物、碳氟化合物或者氟代烃离解而产生的离子以高能量被引入到蚀刻区域ER内。然后,通过离子的溅射效果,形成于底面BP的保护膜被选择性地去除。由此,在工序S3c中,一边抑制在底面BP上形成保护膜PM,一边在侧壁SW上形成保护膜PM。然后,通过之后进行工序S2b,在侧壁SW上利用保护膜PM来防止有助于蚀刻的氟的活性种与被蚀刻层EL相接触,在底面BP上,氟的活性种与被蚀刻层EL的硅活跃地起反应。因此,在方法M1中,在蚀刻层EL的侧方向上抑制蚀刻的进行,在被蚀刻层EL的深度方向上促进蚀刻。另外,在该工序S2b中,向下部电极16施加作为相对低的偏置电力的第一偏置电力LF1来进行蚀刻,因此抑制了形成于侧壁SW的保护膜PM由于氟的离子而被去除。因而,根据方法M1,能够以高蚀刻速率来形成深径比高的形状。
此外,在一个实施方式中,也可以从包括工序S2和工序S3的序列的重复中途起,在工序S2a、工序S3b以及工序S3c中,使施加于下部电极16的第二偏置电力逐渐增加。另外,在一个实施方式中,在工序S3a、工序S3b以及工序S3c中,也可以使供给到处理容器内的第二气体的流量逐渐减少。例如,也可以在蚀刻区域ER的深径比超出规定值以后,在工序S2a、工序S3b以及工序S3c中以使施加于下部电极16的第二偏置电力最终成为1000W的方式使施加于下部电极16的偏置电力逐渐增加。另外,也可以与此同时,在工序S3a、工序S3b以及工序S3c中以使供给到处理容器12内的第二气体的流量从330sccm变成305sccm(C4F8气体的流量:300sccm,C4F6气体的流量:5sccm)的方式使供给到处理容器12内的第二气体的流量逐渐减少。
在被蚀刻层EL上形成深径比高的形状时,在使处理条件固定的情况下,存在如下趋势:随着去向深度方向而前端变细的形状的蚀刻区域ER形成在被蚀刻层EL。这是由于以下原因产生的现象:随着深径比变大,与供给到底面BP的有助于形成保护膜PM的活性种的量相比,供给到底面BP的有助于蚀刻的活性种的量降低。这样,通过从序列的重复中途起在工序S3a、工序S3b以及工序S3c中使供给到处理容器12内的第二气体的流量减少,能够使供给到底面BP的有助于形成保护膜PM的活性种的量减少,因此能够防止蚀刻区域ER的形状变为前端变细的形状。另外,通过在工序S2a、工序S3b以及工序S3c中使施加于下部电极16的偏置电力增加,能够增强源自第二气体的离子所产生的溅射效果。由此,进一步抑制在底面BP上形成保护膜PM。因而,根据这种实施方式,能够以高蚀刻速率来形成深径比高的形状。
下面,对使用了上述各种实施方式的方法的实验例进行说明。
在实验例1中,对在使处理容器12内的压力和施加于下部电极16的偏置电力发生变化时在凹部的壁面上形成的保护膜PM的膜厚进行了评价。在实验例1中,在如图6所示的、形成有深度为100μm的孔的样品上形成了保护膜PM。然后,获取进行了实验例1的处理后的被蚀刻层EL的剖面的SEM照片,对在孔的侧壁SW的最下部的区域A和孔的底面BP上的区域B上形成的保护膜PM的厚度进行了观测。在实验例1中,在如下条件下在被蚀刻层EL的表面上形成了保护膜。在实验例1中,使用C4F8气体作为供给到处理容器12内的第二气体。
(实验例1的处理条件)
施加于上部电极40的高频电力:2000W
C4F8气体的流量:200sccm
处理时间:360秒
在使处理容器12内的压力变化为50mTorr、100mTorr、使施加于下部电极16的偏置电力变化为0W、100W、200W的基础上实施了实验例1。其结果,确认出在将处理容器12内的压力设为50mTorr、将施加于下部电极16的偏置电力设为100W的情况下,在区域B上不形成保护膜PM,在将处理容器12内的压力设为50mTorr、将施加于下部电极16的偏置电力设为200W的情况下,在区域B上不形成保护膜PM。另外,确认出即使在上述情况下,在位于侧壁SW上的区域A上也会形成足够厚的保护膜PM。另一方面,确认出在将处理容器12内的压力设为50mTorr、将施加于下部电极16的偏置电力设为0W的情况下,在区域B上形成厚度比区域A厚的保护膜PM,在将处理容器12内的压力设为100mTorr、将施加于下部电极16的偏置电力设为0W、100W或者200W的情况下,在区域B上形成厚度比区域A厚的保护膜PM。根据该实验例1的结果,确认出通过将处理容器12内设定为低压并且对下部电极16施加大的偏置电力,能够一边抑制在被蚀刻层EL的底面BP上形成保护膜PM,一边在侧壁SW上形成保护膜PM。
以上,说明了各种实施方式,但是并不限定于上述实施方式而能够构成各种变形方式。例如,用于实施方法M1的等离子体处理装置并不限定于上述等离子体处理装置10。还能够将向下部电极供给等离子体生成用的高频电力这一类型的等离子体处理装置用于方法M1的实施。另外,除了平行平板型的等离子体处理装置以外,还能够将电感耦合型的等离子体处理装置或者使用微波作为等离子体源的等离子体处理装置用于方法M1的实施。
另外,在方法M1中,工序S2a、工序S3a以及工序S3b为附加工序而并非必须的工序。只要至少进行工序S2b和工序S3c,就能够一边抑制在被蚀刻层EL的底面BP上形成保护膜PM,一边在侧壁SW上形成保护膜PM。

Claims (7)

1.一种等离子体处理方法,通过在上部电极与同该上部电极相对配置的下部电极之间生成的等离子体来对配置在处理容器内的被处理体进行处理,该方法包括:
蚀刻工序,将含有SF6、ClF3以及F2中的至少一种的第一气体供给到处理容器内,生成该第一气体的等离子体来对上述被处理体的被蚀刻层进行蚀刻;以及
第一成膜工序,将含有碳氢化合物、碳氟化合物以及氟代烃中的至少一种的第二气体供给到上述处理容器内,生成该第二气体的等离子体来在上述被蚀刻层的至少一部分上形成由来于上述第二气体的保护膜,
其中,在上述蚀刻工序中,将上述处理容器内的压力设为第一压力,并且对上述下部电极施加第一偏置电力,
在上述第一成膜工序中,将上述处理容器内的压力设为低于上述第一压力的第二压力,并且对上述下部电极施加高于上述第一偏置电力的第二偏置电力,
重复执行包括上述蚀刻工序和上述第一成膜工序的序列。
2.根据权利要求1所述的等离子体处理方法,其特征在于,
在上述蚀刻工序中,将O2气体添加到上述第一气体中。
3.根据权利要求1或者2所述的等离子体处理方法,其特征在于,
上述序列还包括:
第二成膜工序,其为在上述蚀刻工序与上述第一成膜工序之间进行的工序,在该第二成膜工序中,在将上述处理容器内的压力设为上述第一压力并且对上述下部电极施加了上述第一偏置电力的状态下,在上述处理容器内生成上述第二气体的等离子体来在上述被蚀刻层的至少一部分上形成上述保护膜;以及
第三成膜工序,其为在上述第二成膜工序与上述第一成膜工序之间进行的工序,在该第三成膜工序中,在将上述处理容器内的压力设为上述第一压力并且对上述下部电极施加了上述第二偏置电力的状态下,在上述处理容器内生成上述第二气体的等离子体来在上述被蚀刻层的至少一部分上形成上述保护膜。
4.根据权利要求3所述的等离子体处理方法,其特征在于,
上述序列还包括突破工序,该突破工序为在上述蚀刻工序之前进行的工序,在该突破工序中,在将上述处理容器内的压力设为第一压力并且对上述下部电极施加了上述第二偏置电力的状态下,在上述处理容器内生成上述第一气体的等离子体。
5.根据权利要求4所述的等离子体处理方法,其特征在于,
从上述序列的重复中途起,在上述突破工序、上述第一成膜工序以及上述第三成膜工序中使施加于上述下部电极的第二偏置电力增加。
6.根据权利要求4所述的等离子体处理方法,其特征在于,
从上述序列的重复中途起,在上述第一成膜工序、上述第二成膜工序以及上述第三成膜工序中使供给到上述处理容器内的上述第二气体的流量减少。
7.根据权利要求1或者2所述的等离子体处理方法,其特征在于,
上述第二气体包含CH4、CH3F、C4F6以及C4F8中的至少一种。
CN201410495671.XA 2013-09-24 2014-09-24 等离子体处理方法 Active CN104465365B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013196901A JP6207947B2 (ja) 2013-09-24 2013-09-24 被処理体をプラズマ処理する方法
JP2013-196901 2013-09-24

Publications (2)

Publication Number Publication Date
CN104465365A CN104465365A (zh) 2015-03-25
CN104465365B true CN104465365B (zh) 2017-07-11

Family

ID=52690004

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410495671.XA Active CN104465365B (zh) 2013-09-24 2014-09-24 等离子体处理方法

Country Status (5)

Country Link
US (1) US9139901B2 (zh)
JP (1) JP6207947B2 (zh)
KR (1) KR102269896B1 (zh)
CN (1) CN104465365B (zh)
TW (1) TWI605515B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
JP6476418B2 (ja) * 2016-02-04 2019-03-06 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
JPWO2017159512A1 (ja) * 2016-03-17 2019-01-24 日本ゼオン株式会社 プラズマエッチング方法
KR102576706B1 (ko) * 2016-04-15 2023-09-08 삼성전자주식회사 반도체 소자의 제조 방법
CN108573867B (zh) * 2017-03-13 2020-10-16 北京北方华创微电子装备有限公司 硅深孔刻蚀方法
US10361091B2 (en) 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6948181B2 (ja) * 2017-08-01 2021-10-13 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1748475A2 (en) * 2005-07-27 2007-01-31 Sumitomo Precision Products Co., Ltd. Etching method and etching apparatus
CN101521158A (zh) * 2008-02-29 2009-09-02 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626199B2 (ja) 1983-12-14 1994-04-06 株式会社日立製作所 エッチング方法
JPH0612767B2 (ja) 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
CN100517595C (zh) * 2004-07-02 2009-07-22 株式会社爱发科 蚀刻方法和系统
FR2887073B1 (fr) 2005-06-14 2007-08-10 Alcatel Sa Procede de pilotage de la pression dans une chambre de procede
JP4936709B2 (ja) * 2005-11-25 2012-05-23 東京エレクトロン株式会社 プラズマエッチング方法および半導体装置の製造方法
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
US8987140B2 (en) * 2011-04-25 2015-03-24 Applied Materials, Inc. Methods for etching through-silicon vias with tunable profile angles
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US8652969B2 (en) * 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US8691698B2 (en) * 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1748475A2 (en) * 2005-07-27 2007-01-31 Sumitomo Precision Products Co., Ltd. Etching method and etching apparatus
CN101521158A (zh) * 2008-02-29 2009-09-02 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置

Also Published As

Publication number Publication date
CN104465365A (zh) 2015-03-25
KR102269896B1 (ko) 2021-06-25
JP2015065215A (ja) 2015-04-09
KR20150033570A (ko) 2015-04-01
TW201521111A (zh) 2015-06-01
JP6207947B2 (ja) 2017-10-04
US9139901B2 (en) 2015-09-22
US20150083580A1 (en) 2015-03-26
TWI605515B (zh) 2017-11-11

Similar Documents

Publication Publication Date Title
CN104465365B (zh) 等离子体处理方法
JP6441434B2 (ja) デュアルチャンバ構成のパルスプラズマチャンバ
TWI618139B (zh) 矽-碳-氮化物之選擇性蝕刻
US9779961B2 (en) Etching method
KR102122205B1 (ko) 에칭 방법 및 플라즈마 처리 장치
TWI661464B (zh) 被處理體之處理方法
JP2014531753A5 (zh)
TW200947546A (en) Substrate plasma processing apparatus and plasma processing method
CN101214487B (zh) 一种半导体刻蚀设备腔室的清洗方法
JP6833657B2 (ja) 基板をプラズマエッチングする方法
US11398386B2 (en) Plasma etch processes
JP2007531280A (ja) 最少スカラップ基板の処理方法
TWI766866B (zh) 蝕刻方法
TW201530648A (zh) 乾式蝕刻方法
CN105810582A (zh) 蚀刻方法
JP2017112293A (ja) 溝を有するシリコンカーバイド基板の製造方法
JP2007012819A (ja) ドライエッチング方法
JP4958658B2 (ja) プラズマ処理方法
JP2024013628A (ja) エッチング方法及びプラズマ処理装置
CN105810579A (zh) 蚀刻方法
TW202245053A (zh) 蝕刻方法及蝕刻處理裝置
JP2006148179A (ja) 反応性イオンエッチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant