TW201521111A - 電漿處理被處理體之方法 - Google Patents

電漿處理被處理體之方法 Download PDF

Info

Publication number
TW201521111A
TW201521111A TW103132576A TW103132576A TW201521111A TW 201521111 A TW201521111 A TW 201521111A TW 103132576 A TW103132576 A TW 103132576A TW 103132576 A TW103132576 A TW 103132576A TW 201521111 A TW201521111 A TW 201521111A
Authority
TW
Taiwan
Prior art keywords
gas
pressure
plasma
processing container
etching
Prior art date
Application number
TW103132576A
Other languages
English (en)
Other versions
TWI605515B (zh
Inventor
Akitaka Shimizu
Tetsuya Ohishi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201521111A publication Critical patent/TW201521111A/zh
Application granted granted Critical
Publication of TWI605515B publication Critical patent/TWI605515B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種可以高蝕刻率來形成高長寬比形狀之被處理體的電漿蝕刻方法。 在一實施形態相關的被處理體之電漿處理方法中,係藉由在上部電極與對向於該上部電極而加以配置的下部電極間所產生的電漿來處理被配置於處理容器內的被處理體。此方法係包含:供給含有SF6、ClF3與F2之至少一者的第1氣體至處理容器內,並產生該第1氣體之電漿來蝕刻被處理體之被蝕刻層的蝕刻工序;以及供給含有碳氫化合物、碳氟化合物與碳氟氫化合物之至少一者的第2氣體至處理容器內,並產生該第2氣體之電漿,來在被蝕刻層之至少一部分形成源自第2氣體之保護膜的第1成膜工序。蝕刻工序中,係讓處理容器內之壓力為第1壓力,並於下部電極施加有第1偏壓電力。第1成膜工序中,係讓處理容器內之壓力為較第1壓力要低的第2壓力,並於下部電極施加有較第1偏壓電力要高的第2偏壓電力。然後,反覆實施含有蝕刻工序與第1成膜工序的順序。

Description

電漿處理被處理體之方法
本發明實施形態係關於電漿處理被處理體之方法。
在半導體裝置之製造過程中,有對被處理體之被蝕刻層形成有所謂孔或溝之凹部的情形。近年來,隨著半導體裝置尺寸之縮小化,而進展成被蝕刻層所形成的形狀之細微化。又,該等形狀之深寬比漸漸變高,因而變成有更深的凹部要被形成在被蝕刻層。
一般而言,要在被蝕刻層上形成此般凹部時,會使用對應於所欲形成凹部之遮罩而電漿蝕刻被蝕刻層。但是,欲以電漿蝕刻來形成較深凹部時,蝕刻亦會從遮罩正下往被蝕刻層之横向進行,故形成高深寬比之凹部會變得困難。於是,已知有藉由交互地導入第1氣體與第2氣體,而以源自第2氣體之保護膜來保護因蝕刻而形成的凹部壁面並蝕刻被蝕刻層之深度方向,來形成高深寬比之凹部的電漿處理方法。此般的電漿處理方法係記載於專利文獻1~4。
具體而言,專利文獻1及2中係記載了藉由反覆進行使用SF6作為第1氣體而蝕刻被蝕刻層的工序,以及使用CCl4作為第2氣體來形成保護膜的工序,來於被處理體形成高深寬比之凹部的方法。又,專利文獻3中係記載了使用含氟氣體作為第1氣體,以及使用NH3氣體作為第2氣體。專利文獻4中係記載了使用氟系氣體作為第1氣體,以及使用SiCl4及O2之混合氣體作為第2氣體。
【先前技術文獻】 【專利文獻】
【專利文獻1】日本公報特開平2-105413號公報
【專利文獻2】日本特開昭63-13334號
【專利文獻3】日本特開昭60-126835號公報
【專利文獻4】日本特開昭60-154622號公報
專利文獻1~4所記載的方法中,在區劃因蝕刻所形成之凹部的底面上亦形成有保護膜。因此,在保護膜形成後而蝕刻被蝕刻層時,首先要除去於凹部底面所形成的保護膜,然後將被蝕刻層往深度方向加以蝕刻。如此,專利文獻1~4所記載的方法中,因為必須要額外地進行除去於凹部底面所形成的保護膜之工序,故要以高蝕刻率來往深度方向進行被蝕刻層之蝕刻便有所困難。
因此,本技術領域中,便需要有一種可以高蝕刻率來形成高深寬比形狀之被處理體的電漿處理方法。
一方面,提供一種藉由在上部電極與對向於該上部電極而加以配置的下部電極間所產生的電漿來處理被配置於處理容器內的被處理體之方法。此方法包含:供給含有SF6、ClF3與F2之至少一者的第1氣體至處理容器內,並產生該第1氣體之電漿,來蝕刻被處理體之被蝕刻層的蝕刻工序;以及供給含有碳氫化合物、碳氟化合物與碳氟氫化合物之至少一者的第2氣體至處理容器內,並產生該第2氣體之電漿,來在被蝕刻層之至少一部分形成源自第2氣體之保護膜的第1成膜工序。蝕刻工序中,係讓處理容器內之壓力為第1壓力,並於下部電極施加有第1偏壓電力。第1成膜工序中,係讓處理容器內之壓力為較第1壓力要低的第2壓力,並於下部電極施加有較第1偏壓電力要高的第2偏壓電力。然後,反覆實施含有蝕刻工序與第1成膜工序的順序。
上述方法中,首先在蝕刻工序中,會藉由第1氣體之電漿來蝕刻被處理體之被蝕刻層。藉由此蝕刻工序,被蝕刻層便形成有由側壁及底面所區劃出的凹部。接著,在第1成膜工序中,藉由產生第2氣體之電漿來在被蝕刻層之一部分形成有保護膜。此第1成膜工序中,處理容器內之壓力會設定成為相對較低壓力的第2壓力,而下部電極會施加有為相對較高偏壓電力的第2偏壓電力。藉此,在第1成膜工序中,會抑制凹部底面形成有保護膜,而是在凹部側壁形成有保護膜。此係因為在第1成膜工序中,藉 由將處理容器內之壓力設定成為相對較低的壓力的第2壓力,並在下部電極施加有相對較高的偏壓電力,則第2氣體所含有的碳氫化合物、碳氟化合物與碳氟氫化合物解離所產生的高能離子便會被引入至凹部內,而藉由離子的濺射效果來選擇性地除去在凹部底面所形成的保護膜。因此,於其後再次進行的蝕刻工序中,側壁上,便會藉由保護膜來防止源自第1氣體的活性基接觸至被蝕刻層,而凹部底面上,源自第1氣體的活性基則會與被蝕刻層之分子激化反應。因此,上述方法中,會抑制被蝕刻層側面上蝕刻之進行,而促進被蝕刻層之深度方向上的蝕刻。另外,此蝕刻工序中,因為會將為相對較低偏壓電力的第1偏壓電力施加至下部電極而進行蝕刻,故便會抑制因第1氣體所含有的SF6、ClF3及F2解離而產生之離子來除去凹部側壁所形成的保護膜。因此,根據上述方法,便可以高蝕刻率來形成高深寬比之形狀。
在上述方法的一形態中,第1氣體亦可添加有O2氣體。因為藉由在第1氣體添加有O2氣體,而可在蝕刻被蝕刻層時,於凹部側壁上形成矽氧化物,故可形成更高深寬比之形狀。
在上述方法的一形態中,順序可更包含:第2成膜工序,係蝕刻工序與第1成膜工序間所進行的該第2成膜工序,且係讓處理容器內之壓力為第1壓力,並於下部電極施加有第1偏壓電力的狀態下,於處理容器內產生第2氣體之電漿,來在被蝕刻層之至少一部分形成保護膜;以及第3成膜工序,係第2成膜工序與第1成膜工序間所進行的該第3成膜工序,且係讓處理容器內之壓力為第1壓力,並於下部電極施加有第2偏壓電力的狀態下,於處理容器內產生第2氣體之電漿,來在被蝕刻層之至少一部分形成保護膜。
在上述方法的第2成膜工序中,可讓處理容器內之壓力設定成為相對較高壓的第1壓力,並在下部電極施加有為相對較低偏壓電力的第1偏壓電力。藉此,可避免因源自為前工序之蝕刻工序中所供給至處理容器內而殘留在處理容器的第1氣體之離子而除去凹部側壁所形成的保護膜。又,於其後所進行的第3成膜工序中,處理容器內之壓力會設定成為相對較高壓的第1壓力,並在下部電極施加有為相對較高偏壓電力的第2偏壓電力。在第3成膜工序中,因處理容器內之壓力會如此般地設定成較高壓, 故可促進對被蝕刻層之保護膜沉積。又,第3成膜工序與第1成膜工序中,因施加有為相對較高偏壓電力的第2偏壓電力,故可藉由離子濺射效果來抑制在凹部底面形成有保護膜。因此,根據本形態相關的方法,便可抑制凹部底面形成有保護膜,並在凹部側壁上形成有保護膜,其結果,便可以高蝕刻率來形成高深寬比之形狀。
在上述方法的一形態中,順序可更包含:穿透工序,係在蝕刻工序前所進行的該穿透工序,且係讓處理容器內之壓力為第1壓力,並於下部電極施加有第2偏壓電力的狀態下,於處理容器內產生第1氣體之電漿。
在上述方法的穿透工序中,會讓處理容器內之壓力設定成相對較高壓的第1壓力,並於下部電極施加有為相對較高偏壓電力的第2偏壓電力。此般的穿透工序中,因藉由第2偏壓電力,使得第1氣體分子解離所產生的離子會被引入至凹部內,故可清除凹部底面所殘留的沉積物。因此,便可提高在後工序所進行的蝕刻工序中之往被蝕刻層深度方向的蝕刻率。
在上述方法的一形態中,從順序之反覆的過程中,可讓穿透工序、第1成膜工序與第3成膜工序中於下部電極所施加的第2偏壓電力增加。又,在上述方法的一形態中,從該順序之反覆的過程中,可讓該第1成膜工序、該第2成膜工序與該第3成膜工序中於該處理容器內所供給的該第2氣體之流量減少。
對被蝕刻層進行高深寬比之蝕刻時,在處理條件為一定的情形中,便會在被蝕刻層上形成有隨著往深度方向而前端較細形狀的凹部。本發明人發現到因為隨著深寬比變大,在凹部底面所供給之有助於蝕刻之活性基的量與凹部底面所供給之有助於保護膜形成之活性基的量相比會減少,故產生此般現象。本形態中,從順序之反覆的過程中,因為讓第1成膜工序、第2成膜工序與第3成膜工序中於處理容器內所供給的第2氣體之流量減少,故可減少凹部底面所供給之有助於保護膜形成之活性基的量。藉此,可防止凹部形狀成為前端較細的形狀。又,本形態中,因為穿透工序、第1成膜工序與第3成膜工序中會讓於下部電極所施加的偏壓電力增加,故可增強因源自第2氣體離子之濺射效果。藉此,便會抑制於凹部底面形成有保護膜。因此,根據本形態相關的方法,便可以高蝕刻率來形成高深寬比之形狀。
在上述方法的一形態中,第2氣體可包含CH4、CH3F、C4F6及C4F8中至少一者。藉由使用此般第2氣體,便可抑制凹部底面形成有保護膜,並在凹部側壁形成有保護膜
如以上已說明般,根據本發明的各種側面及各種形態,便能提供一種可以高蝕刻率來形成高深寬比形狀之被處理體的電漿處理方法。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
16‧‧‧下部電極
32‧‧‧高頻電源
35‧‧‧高頻電源
40‧‧‧上部電極
44‧‧‧氣體供給部
66‧‧‧控制部
70a、72a、74a‧‧‧氣體源
BP‧‧‧底面
EL‧‧‧被蝕刻層
ER‧‧‧蝕刻區域
M‧‧‧遮罩
PM‧‧‧保護膜
S‧‧‧處理空間
SW‧‧‧側壁
W‧‧‧被處理體
圖1係顯示一實施形態相關的電漿處理被處理體之方法的流程圖。
圖2係概略地顯示一實施形態之電漿處理裝置的圖式。
圖3係顯示一實施形態相關的電漿處理被處理體之方法的時序圖圖式。
圖4係被處理體之一部分的剖面圖。
圖5係被處理體之一部分的剖面圖。
圖6係顯示實驗例1中所使用之樣本的圖式。
以下,便參照圖式而就各種實施形態來詳細地加以說明。另外,各圖式中對於相同或相當的部分係附予相同的符號。
圖1係顯示一實施形態相關的電漿處理被處理體之方法的流程圖。圖1所示方法M1中,使用第1氣體之電漿而蝕刻被處理體之被蝕刻層的工序S2,與使用第2氣體之電漿而在被處理體之被蝕刻層上形成保護膜的工序S3會加以反覆實施。
以下,就可使用於圖1所示方法M1之實施的電漿處理裝置來加以說明。圖2係概略地顯示一實施形態之電漿處理裝置的圖式。圖2中係概略地顯示電漿處理裝置10之剖面構造。
電漿處理裝置10係具備處理容器12。處理容器12係具有略圓筒形狀,且在其內部區劃出處理空間S。處理容器12之側壁係安裝有開閉被處理體W之搬入出口的閘閥30。電漿處理裝置10係在此處理容器12內具備載置台14。載置台14係設置於處理空間S下方。此載置台14係具有下部電極16及靜電吸盤18。下部電極16係具有略圓板形 狀,並具有導電性。下部電極16係由例如鋁所製成。
下部電極16係透過匹配器34而電性連接有高頻電源32。高頻電源32係將離子引入用之既定高頻(例如,2MHz~27MHz)的高頻電力,亦即高頻偏壓電力施加至下部電極16。
一實施形態中,下部電極16內部係形成有冷媒流路16p,冷媒流路16p係可連接有冷媒入口配管、冷媒出口配管。載置台14係構成為可藉由讓適當冷媒,例如冷卻水等循環於冷媒流路16p中,來將下部電極16及靜電吸盤18控制在既定溫度。
電漿處理裝置10中,下部電極16上面係設置有靜電吸盤18。靜電吸盤18為略圓板狀構件,並具有絕緣層18a及供電層18b。絕緣層18a係藉由陶瓷等絕緣體所形成的膜,而供電層18b係作為絕緣層18a內層而加以形成的導電性膜。供電層18b係透過開關SWT而連接有直流電源56。當從直流電源56供給直流電壓至供電層18b時,便會產生庫倫力,藉由該庫倫力,被處理體W便會被吸附保持於靜電吸盤18上。
一實施形態中,靜電吸盤18內部可埋入有為加熱元件的加熱器HT。此實施形態中,靜電吸盤18係構成為可藉由加熱器HT來將被處理體W加熱至既定溫度。此加熱器HT係透過配線而連接至加熱器電源HP。
電漿處理裝置10可進一步地具備氣體供給線路58及60,以及導熱氣體供給部62及64。導熱氣體供給部62係連接至氣體供給線路58。此氣體供給線路58係延伸至靜電吸盤18上面,並環狀地延伸在該上面的中央部分。導熱氣體供給部62係將例如所謂He氣體的導熱氣體供給至靜電吸盤18上面與被處理體W之間。又,導熱氣體供給部64係連接至氣體供給線路60。氣體供給線路60係延伸至靜電吸盤18上面,並以包圍氣體供給線路58之方式而環狀地延伸於該上面。導熱氣體供給部64係將例如所謂He氣體的導熱氣體供給至靜電吸盤18上面與被處理體W之間。
電漿處理裝置10可進一步地具備筒狀保持部20及筒狀支撐部22。筒狀保持部20係連接至下部電極16側面及底面之邊緣部,而保持該下部電極16。筒狀支撐部22係從處理容器12底面往垂直方向延 伸,並透過筒狀保持部20而支撐下部電極16。電漿處理裝置10可進一步地具備有載置在此筒狀保持部20上面的聚焦環FR。聚焦環FR可由例如石英所構成。
一實施形態中,處理容器12側壁與筒狀支撐部22之間係設置有排氣路24。排氣路24之入口或其途中係安裝有導流板25。又,排氣路24底面係設置有排氣口26a。排氣口26a係藉由嵌入至處理容器12底面的排氣管26來加以區劃。此排氣管26係連接有排氣裝置28。排氣裝置28係具有真空幫浦,並可將處理容器12內之處理空間S減壓至既定真空度。
電漿處理裝置10係進一步地於處理容器12內具備噴頭38。噴頭38係設置於處理空間S上方。噴頭38係包含上部電極40及電極支撐體42。
上部電極40為具略圓板形狀的導電性板。上部電極40係形成有複數個氣體通氣孔40h。上部電極40係利用電極支撐體42而可裝卸地被加以支撐。電極支撐體42內部係設置有緩衝室42a。電漿處理裝置10係進一步地具備氣體供給部44,緩衝室42a之氣體導入口42b係透過氣體供給導管46而連接有氣體供給部44。氣體供給部44可供給第1氣體、第2氣體及第3氣體至處理空間S。
一實施形態中,氣體供給部44係具有氣體源70a、閥70b、流量控制器70c、氣體源72a、閥72b、流量控制器72c、氣體源74a以及閥74b、流量控制器74c。氣體源70a為第1氣體的氣體源。此第1氣體為電漿處理裝置10用以蝕刻被蝕刻層,亦即,多結晶矽層用的氣體,在一實施形態中,為含有SF6,ClF3及F2之至少一者的氣體。氣體源70a會透過閥70b及所謂質流控制器的流量控制器70c而連接至氣體供給導管46。
氣體源72a為第2氣體的氣體源。此第2氣體係用以對為被蝕刻層的多結晶矽層而形成沉積物的氣體。第2氣體為含有碳氫化合物、碳氟化合物與碳氟氫化合物之至少一者的氣體。一實施形態中,可為含有CH4、CH3F、C4F6及C4F8之至少一者的氣體。氣體源72a會透過閥72b及所謂質流控制器的流量控制器72c而連接至氣體供給導管46。
又,氣體源74a為第3氣體的氣體源。此第3氣體為可被添加至第1氣體的氣體,在一實施形態中,為O2氣體。氣體源74a會係透過閥74b及所謂質流控制器的流量控制器74c而連接至氣體供給導管46。
電極支撐體42係形成有分別連接至複數個氣體通氣孔40h的複數個孔,且該複數個孔係連通至緩衝室42a。因此,由氣體供給部44所供給的氣體會經由緩衝室42a、氣體通氣孔40h而供給至處理空間S。
又,上部電極40係透過匹配器36而電性連接有高頻電源35。高頻電源35在一實施形態中係將電漿生成用之既定高頻(例如,27MHz以上)的高頻電力施加至上部電極40。當藉由高頻電源35來分別供給高頻電力至上部電極40時,相互對向而加以配置的下部電極16與上部電極40之間的空間,亦即,處理空間S便會形成有高頻電場,並激發出第1氣體及第2氣體之電漿。因此,一實施形態中,下部電極16、上部電極40及高頻電源32便構成一實施形態中讓電漿產生的裝置。
一實施形態中,處理容器12之頂部係設置有環狀或同心狀延伸的磁場形成機構48。此磁場形成機構48係具有容易讓處理空間S中之高頻放電開始(電漿點火)而維持穩定放電的功能。
進一步地,一實施形態中,電漿處理裝置10係更具備控制部66。此控制部66係連接至排氣裝置28、開關SWT、高頻電源32、匹配器34、高頻電源35、匹配器36、氣體供給部44、導熱氣體供給部62及64,以及加熱器電源HP。控制部66係送出控制訊號至各排氣裝置28、開關SWT、高頻電源32、匹配器34、高頻電源35、匹配器36、氣體供給部44、導熱氣體供給部62及64,以及加熱器電源HP。藉由來自控制部66之控制訊號來控制利用排氣裝置28之排氣、開關SWT之開閉、來自高頻電源32之電力供給、匹配器34之阻抗調整、來自高頻電源35之電力供給、匹配器36之阻抗調整、利用氣體供給部44之第1氣體、第2氣體及添加氣體之供給及該等之流量、分別利用導熱氣體供給部62及64之導熱氣體之供給、來自加熱器電源HP之電力供給。
此電漿處理裝置10中,為了處理被處理體W,便從氣體源70a、72a、74a中所選擇的一個以上的氣體源來供給氣體至處理容器12 內。然後,藉由供給電漿產生用高頻電力至上部電極40,來在下部電極16與上部電極40之間產生高頻電場。藉由此高頻電場,處理空間S內所供給的氣體之電漿便被產生。然後,藉由此般產生的氣體電漿來進行所謂對被處理體W之被蝕刻層的蝕刻處理。又,藉由供給高頻偏壓電力至下部電極16,離子便會被引入至被處理體W。藉此,便會促進被處理體W之被蝕刻層的蝕刻。
再次參照圖1。以下,便就可使用上述電漿處理裝置10來實施之方法M1,除了圖1外並參照圖3~圖5來更詳細地加以說明。圖3為用以具體地說明方法M1之各工序的時序圖。另外,圖4及圖5中係顯示被處理體W之一部分的剖面。
圖1所示方法M1中,首先,在工序S1中準備被處理體W。此被處理體W係如圖4(a)所示,具有被蝕刻層EL及遮罩M。被蝕刻層EL為例如多結晶矽層。遮罩M係形成在被蝕刻層EL上,且由例如氮化矽或氧化矽所構成。
接著,方法M1中,係進行蝕刻被處理體W之被蝕刻層EL的工序S2。工序S2係包含工序S2a(穿透工序)及工序S2b(蝕刻工序)。工序S2a中,係將被處理體W載置在電漿處理裝置10的靜電吸盤18上,並清潔被處理體W之被蝕刻層EL。被蝕刻層EL之清潔係藉由在處理容器12內,產生由氣體源70a所供給的第1氣體之電漿,並將圖4(a)所示之被處理體W暴露於該電漿來加以實施。作為第1氣體係使用含有例如SF6、ClF3、F2之至少一者之氣體。
就工序S2a中的處理條件來加以說明。工序S2a中,如圖3所示,係藉由以流量gfe1來從氣體源70a供給第1氣體,並利用排氣裝置28來讓處理容器12內排氣,使得處理容器12內之壓力被設定成第1壓力PR1。在使用SF6作為第1氣體之情形中,可讓流量gfe1為例如200sccm左右。一實施形態中,第1壓力PR1可為例如150mTorr以上壓力。又,工序S2a中,來自高頻電源35之電漿生成用高頻電力HF1會施加至上部電極40,而來自高頻電源32之第2偏壓電力LF2會施加至下部電極16。此高頻電力HF1可為例如3000W左右。第2偏壓電力LF2為例如100W以上之偏壓電力,且在一 實施形態中可為500W左右。
在接續的工序S2b中,藉由蝕刻被處理體W之被蝕刻層EL來形成凹部。工序S2b中之被蝕刻層EL的蝕刻係藉由在處理容器12內,產生由氣體源70a所供給的第1氣體之電漿,並將進行了工序S2a之蝕刻的被處理體W暴露於該電漿來加以實施。一實施形態之工序S2中,為第3氣體的O2氣體亦可添加至第1氣體。因為藉由添加O2氣體至第1氣體,則蝕刻被蝕刻層EL時矽氧化物便會形成在被蝕刻層EL,故可形成更高深寬比之形狀。
就工序S2b中的處理條件來加以說明。工序S2b係藉由以流量gfe1來從氣體源70a供給第1氣體,並利用排氣裝置28來讓處理容器12內排氣,使得處理容器12內之壓力被設定成第1壓力PR1。又,工序S2b中,來自高頻電源35的高頻電力HF1會施加至上部電極40,而來自高頻電源32的第1偏壓電力LF1會施加至下部電極16。此第1偏壓電力LF1為較第2偏壓電力LF2要低的高頻偏壓電力,其電力可為例如50W左右。
藉由此工序S2b,如圖4(b)所示,利用第1氣體之解離所產生的所謂氟離子或氟自由基之活性基(圖中,被圓所包圍的「F」係表示氟活性基)會與被蝕刻層之矽反應,而蝕刻被蝕刻層EL。以下,將利用工序S2b所形成的凹狀區域稱為蝕刻區域ER。蝕刻區域ER係由側壁SW及底面BP來加以區劃。
接著,在方法M1中,會進行於被蝕刻層EL表面之至少一部分上形成保護膜PM的工序S3。工序S3係包含工序S3a(第2成膜工序)、工序S3b(第3成膜工序)及工序S3c(第1成膜工序)。工序S3a、工序S3b及工序S3c中保護膜PM之形成係藉由在處理容器12內,產生由氣體源72a所供給的第2氣體之電漿,並將進行了工序S2之蝕刻的被處理體W暴露於該電漿來加以實施。
就工序S3a中的處理條件來加以說明。工序S3a中,如圖3所示,係藉由以流量gfd1來從氣體源72a供給第2氣體,並利用排氣裝置28來讓處理容器12內排氣,使得處理容器12內之壓力被設定成第1壓力PR1。例如,在使用含有C4F8氣體及C4F6氣體之氣體作為第2 氣體之情形中,流量gfd1可為例如330sccm(C4F8氣體流量:300sccm,C4F6氣體流量:30sccm)左右。又,工序S3a中,來自高頻電源35的高頻電力HF2會施加至上部電極40,而來自高頻電源32的第1偏壓電力LF1會施加至下部電極16。此高頻電力HF2可為例如1500W左右。
接著,就工序S3b中的處理條件來加以說明。工序S3b中,如圖3所示,係藉由以流量gfd1來由氣體源72a供給第2氣體,並利用排氣裝置28來讓處理容器12內排氣,使得處理容器12內之壓力設定成第1壓力PR1。又,工序S3b中,來自高頻電源35的高頻電力HF2會施加至上部電極40,而來自高頻電源32的第2偏壓電力LF2會施加至下部電極16。亦即,藉由從工序S3a過渡至工序S3b,下部電極16所施加的偏壓電力會從第1偏壓電力LF1增加至第2偏壓電力LF2。
此工序S3a及工序S3b中,從第2氣體解離的碳氫化合物、碳氟化合物或碳氟氫化合物之活性基會沉積於被蝕刻層EL。藉此,側壁SW及底面BP會形成有保護膜PM。又,工序S3a及工序S3b中,藉由讓處理容器12內設定成相對高壓的第1壓力PR1,來促進保護膜PM之形成。另外,工序S3a中,藉由施加為相對較低偏壓電力的第1偏壓電力LF1,來避免因處理容器12內所残留之氟活性基而除去保護膜PM。
接著,就工序S3c中的處理條件來加以說明。工序S3c中,如圖3所示,係藉由以流量gfd1來從氣體源72a供給第2氣體,並利用排氣裝置28來讓處理容器12內排氣,使得處理容器12內之壓力被設定成第2壓力PR2。此第2壓力PR2為較第1壓力PR1要低的壓力,在一實施形態中,可為75mTorr以下壓力。又,工序S3c中,來自高頻電源35的第2高頻電力HF2會施加至上部電極40,而來自高頻電源32的第2偏壓電力LF2會施加至下部電極16。亦即,藉由從工序S3b過渡至工序S3c,處理容器12內之壓力會從第1壓力PR1降低至第2壓力PR2。
此工序S3c中,處理容器12內之壓力會設定成為相對較低壓力的 第2壓力PR2,且下部電極16會施加有為相對較高偏壓電力的LF2。此般工序S3c中,會藉由沉積第2氣體所含有的碳氫化合物、碳氟化合物或碳氟氫化合物之活性基,來在側壁SW及底面BP形成保護膜PM。又,與此一併地在工序S3c中,如圖4(c)所示,第2氣體中的碳氫化合物、碳氟化合物或碳氟氫化合物會解離而產生高能離子(圖中,圓係表示碳氫化合物、碳氟化合物或碳氟氫化合物解離而產生的離子)會垂直地引入至蝕刻區域ER內。藉此,高能離子便會衝撞至底面BP,並藉由離子濺射效果,來除去底面BP所形成的保護膜。因此,工序S3c中,便抑制了底面BP上保護膜PM之形成。另外,在設定為上述般處理條件的工序S3c中,底面BP上因離子濺射而除去保護膜PM之作用會較保護膜PM之沉積速度要強勢。藉此,在底面BP上便不會形成保護膜PM而是露出多結晶矽層。
另一方面,側壁SW上,因為衝撞至保護膜PM的離子量會較衝撞至底面BP所形成的保護膜PM的離子量要少,故保護膜PM之沉積速度會較因離子濺射而除去保護膜之作用要強勢,故便會形成有保護膜PM。因此,藉由此工序S3c,如圖4(c)所示,便不會在底面BP上形成保護膜PM,而在側壁SW上形成保護膜PM。
然後,方法M1中,會進一步地藉由進行工序S2來蝕刻被蝕刻層EL。亦即,會藉由進行工序S2a來清潔底面BP。又,藉由進行工序S2b,如圖5(a)所示,來往深度方向蝕刻多結晶矽層露出的底面BP。此時,側壁SW中形成有保護膜PM的部分不會進行蝕刻。其後,如圖5(b)所示,會進一步地藉由進行工序S3來在側壁SW形成保護膜PM。接下來,如圖1所示,判定是否已進行了既定循環數之工序S2及工序S3的反覆進行(圖1的參照符號S4)。在工序S2及工序S3之反覆進行的次數未達既定循環數時,會進一步地進行工序S2及工序S3。另一方面,在工序S2及工序S3之反覆進行次數已進行了既定循環數之情形中,方法M1便會結束。藉由此般工序S2及工序S3會反覆進行既定循環數,來形成如圖5(c)所示般之高深寬比的蝕刻區域ER。
如以上說明,方法M1之工序S3c中,處理容器12內之壓力會設定成為相對較低壓力的第2壓力PR2,且下部電極16會施加有為相對 較高偏壓電力的第2偏壓電力LF2。藉此,第2氣體所含有的碳氫化合物、碳氟化合物或碳氟氫化合物解離所產生的離子會以高能而引入至蝕刻區域ER內。然後,因離子濺射效果來選擇性地除去底面BP所形成的保護膜。藉此,工序S3c中,便會抑制保護膜PM形成於底面BP,並在側壁SW形成保護膜PM。然後,藉由於其後進行工序S2b,而利用保護膜PM來防止側壁SW中有助於蝕刻的氟活性基會接觸至被蝕刻層EL,且底面BP上氟活性基會與被蝕刻層EL之矽激化反應。因此,方法M1中,便會抑制被蝕刻層EL側邊蝕刻之進行,而促進被蝕刻層EL之深度方向的蝕刻。又,此工序S2b中,因為將相對較低偏壓電力的第1偏壓電力LF1施加至下部電極16而進行蝕刻,故便會抑制因氟離子而除去側壁SW上所形成的保護膜PM。因此,根據方法M1,便可以高蝕刻率來形成高深寬比之形狀。
另外,一實施形態中,在包含工序S2及工序S3順序之反覆的過程中,可在工序S2a、工序S3b及工序S3c中讓於下部電極16所施加的第2偏壓電力慢慢地増加。又,一實施形態中,可在工序S3a、工序S3b及工序S3c中讓處理容器內所供給的第2氣體流量慢慢地減少。例如,在蝕刻區域ER之深寬比超過既定值之後,可在工序S2a、工序S3b及工序S3c中以讓下部電極16所施加的第2偏壓電力最終會成為1000W之方式,來讓下部電極16所施加的偏壓電力慢慢地增加。又,與此一併地可在工序S3a、工序S3b及工序S3c中讓處理容器12內所供給的第2氣體流量成為從330sccm至305sccm(C4F8氣體流量:300sccm,C4F6氣體流量:5sccm)之方式,來讓處理容器12內所供給的第2氣體流量慢慢地減少。
形成高深寬比形狀於被蝕刻層EL時,在處理條件為一定的情形中,便會有在被蝕刻層EL上形成有隨著往深度方向而前端較細形狀的蝕刻區域ER。此係起因於隨著深寬比變大,在底面BP所供給之有助於蝕刻之活性基的量與底面BP所供給之有助於保護膜PM形成之活性基的量相比會減少,而產生的現象。如此般,從順序之反覆的過程中,因為可藉由讓工序S3a、工序S3b及工序S3中於處理容器12內所供給的第2氣體之流量減少,來減少底面BP所供給之有助於保護膜PM形成之活性基 的量,故可防止蝕刻區域ER形狀成為前端較細的形狀。又,藉由工序S2a、工序S3b,及工序S3c中會讓於下部電極16所施加的偏壓電力增加,便可增強因源自第2氣體離子之濺射效果。藉此,便會抑制於底面BP形成有保護膜PM。因此,根據本形態相關的方法,便可以高蝕刻率來形成高深寬比之形狀。
以下,就使用上述各種實施形態之方法的實驗例來加以說明。
實驗例1中,係就讓處理容器12內之壓力及下部電極16所施加的偏壓電力變化時,凹部壁面所形成的保護膜PM之膜厚來加以評價。實驗例1中,如圖6所示,係對形成有深度100μm孔的樣本來形成保護膜PM。然後,取得實驗例1之處理後被蝕刻層EL剖面的SEM照片,並觀察孔側壁SW最下部的區域A,及孔底面BP上的區域B所形成的保護膜PM厚度。實驗例1中,係在如以下的條件下形成保護膜於被蝕刻層EL表面。在實驗例1中係使用C4F8氣體來作為供給至處理容器12內的第2氣體。
(實驗例1的處理條件)
上部電極40所施加的高頻電力:2000W
C4F8氣體流量:200sccm
處理時間:360秒
實驗例1係讓處理容器12內之壓力變化為50mTorr、100mTorr,並讓下部電極16所施加的偏壓電力變化為0W、100W、200W的情形下來加以實施。其結果,確認到處理容器12內之壓力為50mTorr而下部電極16所施加的偏壓電力為100W的情形,以及處理容器12內之壓力為50mTorr而下部電極16所施加的偏壓電力為200W的情形中,區域B未形成有保護膜PM。又,此情形亦確認到位於側壁SW上的區域A會形成有充份厚度的保護膜PM。另一方面,確認到處理容器12內之壓力為50mTorr而下部電極16所施加的偏壓電力為0W之情形,以及處理容器12內之壓力為100mTorr而下部電極16所施加的偏壓電力為0W、100W或200W之情形中,區域B上會形成有較區域A要厚的保護膜PM。由此實驗例1之結果,確認到藉由讓處理容器12內設定成低壓,且下部電極16施加較大 偏壓電力,便可抑制被蝕刻層EL底面BP形成有保護膜PM,並在側壁SW上形成保護膜PM。
以上,雖已就各種實施形態來加以說明,但不限於上述實施形態,而可構成為各種變形態様。例如,用於方法M1之實施的電漿處理裝置不限於上述電漿處理裝置10。方法M1之實施可使用供給電漿生成用高頻電力至下部電極型式的電漿處理裝置。又,除了平行平板型電漿處理裝置之外,亦可使用感應耦合型電漿處理裝置,或使用微波作為電漿源之電漿處理裝置於方法M1之實施。
又,方法M1中,工序S2a、工序S3a及工序S3b係附加性工序而非必須工序。只要至少進行工序S2b及工序S3c,便可抑制在被蝕刻層EL底面BP上形成有保護膜PM,並在側壁SW形成保護膜PM。
S1‧‧‧準備被處理體
S2‧‧‧蝕刻被處理體之被蝕刻層的工序
S2a‧‧‧使用第1氣體之電漿清除被蝕刻層(第1壓力、第2偏壓電力)
S2b‧‧‧使用第1氣體之電漿形成被蝕刻區域(第1壓力、第1偏壓電力)
S3‧‧‧於被蝕刻層表面之至少一部分上形成保護膜PM的工序
S3a‧‧‧使用第2氣體之電漿形成保護膜(第1壓力、第1偏壓電力)
S3b‧‧‧使用第2氣體之電漿形成保護膜(第1壓力、第2偏壓電力)
S3c‧‧‧使用第2氣體之電漿形成保護膜(第2壓力、第2偏壓電力)
S4‧‧‧執行既定循環數之反覆?

Claims (7)

  1. 一種方法,係藉由在上部電極與對向於該上部電極而加以配置的下部電極間所產生的電漿來處理被配置於處理容器內的被處理體之方法,該方法包含:蝕刻工序,係供給含有SF6、ClF3與F2之至少一者的第1氣體至處理容器內,並產生該第1氣體之電漿,來蝕刻該被處理體之被蝕刻層;以及第1成膜工序,係供給含有碳氫化合物、碳氟化合物與碳氟氫化合物之至少一者的第2氣體至該處理容器內,並產生該第2氣體之電漿,來在該被蝕刻層之至少一部分形成源自該第2氣體之保護膜;該蝕刻工序中,係讓該處理容器內之壓力為第1壓力,並於該下部電極施加有第1偏壓電力;該第1成膜工序中,係讓該處理容器內之壓力為較該第1壓力要低的第2壓力,並於該下部電極施加有較該第1偏壓電力要高的第2偏壓電力;以及反覆實施含有該蝕刻工序與該第1成膜工序的順序。
  2. 如申請專利範圍第1項之方法,其中該蝕刻工序中,O2氣體會被添加至該第1氣體。
  3. 如申請專利範圍第1或2項之方法,其中該順序係更包含:第2成膜工序,係該蝕刻工序與該第1成膜工序間所進行的該第2成膜工序,且係讓該處理容器內之壓力為該第1壓力,並於該下部電極施加有該第1偏壓電力的狀態下,於該處理容器內產生該第2氣體之電漿,來在該被蝕刻層之至少一部分形成該保護膜;以及第3成膜工序,係該第2成膜工序與該第1成膜工序間所進行的該第3成膜工序,且係讓該處理容器內之壓力為該第1壓力,並於該下部電極施加有該第2偏壓電力的狀態下,於該處理容器內產生該第2氣體之電漿,來在該被蝕刻層之至少一部分形成該保護膜。
  4. 如申請專利範圍第3項之方法,其中該順序係更包含穿透工序,係在該蝕刻工序前所進行的該穿透工序,且係讓該處理容器內之壓力為該第1壓力,並於該下部電極施加有該第2偏壓電力的狀態下,於該處理容器內產生該第1氣體之電漿。
  5. 如申請專利範圍第3項之方法,其中從該順序之反覆的過程中,會讓該穿透工序、該第1成膜工序與該第3成膜工序中於該下部電極所施加的第2偏壓電力增加。
  6. 如申請專利範圍第3項之方法,其中從該順序之反覆的過程中,會讓該第1成膜工序、該第2成膜工序與該第3成膜工序中於該處理容器內所供給的該第2氣體之流量減少。
  7. 如申請專利範圍第3項之方法,其中該第2氣體係包含CH4、CH3F、C4F6及C4F8中至少一者。
TW103132576A 2013-09-24 2014-09-22 Method for plasma treatment of a processed body TWI605515B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013196901A JP6207947B2 (ja) 2013-09-24 2013-09-24 被処理体をプラズマ処理する方法

Publications (2)

Publication Number Publication Date
TW201521111A true TW201521111A (zh) 2015-06-01
TWI605515B TWI605515B (zh) 2017-11-11

Family

ID=52690004

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103132576A TWI605515B (zh) 2013-09-24 2014-09-22 Method for plasma treatment of a processed body

Country Status (5)

Country Link
US (1) US9139901B2 (zh)
JP (1) JP6207947B2 (zh)
KR (1) KR102269896B1 (zh)
CN (1) CN104465365B (zh)
TW (1) TWI605515B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP6159757B2 (ja) * 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
JP6476418B2 (ja) * 2016-02-04 2019-03-06 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
KR102411668B1 (ko) * 2016-03-17 2022-06-20 니폰 제온 가부시키가이샤 플라즈마 에칭 방법
KR102576706B1 (ko) * 2016-04-15 2023-09-08 삼성전자주식회사 반도체 소자의 제조 방법
CN108573867B (zh) * 2017-03-13 2020-10-16 北京北方华创微电子装备有限公司 硅深孔刻蚀方法
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP6948181B2 (ja) * 2017-08-01 2021-10-13 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626199B2 (ja) 1983-12-14 1994-04-06 株式会社日立製作所 エッチング方法
JPH0612767B2 (ja) 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
FR2887073B1 (fr) * 2005-06-14 2007-08-10 Alcatel Sa Procede de pilotage de la pression dans une chambre de procede
JP4512533B2 (ja) * 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
JP4936709B2 (ja) * 2005-11-25 2012-05-23 東京エレクトロン株式会社 プラズマエッチング方法および半導体装置の製造方法
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
US8987140B2 (en) * 2011-04-25 2015-03-24 Applied Materials, Inc. Methods for etching through-silicon vias with tunable profile angles
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US8652969B2 (en) * 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US8691698B2 (en) * 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process

Also Published As

Publication number Publication date
JP6207947B2 (ja) 2017-10-04
KR20150033570A (ko) 2015-04-01
US9139901B2 (en) 2015-09-22
US20150083580A1 (en) 2015-03-26
CN104465365B (zh) 2017-07-11
TWI605515B (zh) 2017-11-11
KR102269896B1 (ko) 2021-06-25
JP2015065215A (ja) 2015-04-09
CN104465365A (zh) 2015-03-25

Similar Documents

Publication Publication Date Title
TWI605515B (zh) Method for plasma treatment of a processed body
JP6719602B2 (ja) 材料改質とrfパルスを用いた選択的エッチング
JP6235981B2 (ja) 被処理体を処理する方法
TWI657499B (zh) 蝕刻方法
KR101744625B1 (ko) 에칭 방법
US20140342569A1 (en) Near surface etch selectivity enhancement
KR20190026589A (ko) 에칭 방법
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
TWI697046B (zh) 蝕刻方法
KR102122205B1 (ko) 에칭 방법 및 플라즈마 처리 장치
EP3086356B1 (en) Method for etching organic film
JP6833657B2 (ja) 基板をプラズマエッチングする方法
TWI766866B (zh) 蝕刻方法
TW201742143A (zh) 蝕刻方法
TW202139787A (zh) 基板處理方法及基板處理裝置
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
JP2024013628A (ja) エッチング方法及びプラズマ処理装置
TW202245053A (zh) 蝕刻方法及蝕刻處理裝置
TW202335079A (zh) 電漿處理方法及電漿處理系統