TW202245053A - 蝕刻方法及蝕刻處理裝置 - Google Patents

蝕刻方法及蝕刻處理裝置 Download PDF

Info

Publication number
TW202245053A
TW202245053A TW111109823A TW111109823A TW202245053A TW 202245053 A TW202245053 A TW 202245053A TW 111109823 A TW111109823 A TW 111109823A TW 111109823 A TW111109823 A TW 111109823A TW 202245053 A TW202245053 A TW 202245053A
Authority
TW
Taiwan
Prior art keywords
gas
etching
hydrofluorocarbon
etching method
substrate
Prior art date
Application number
TW111109823A
Other languages
English (en)
Inventor
中川顕
渡邊匠
田邊明良
松尾瑞稀
岩佐琥偉
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2021132776A external-priority patent/JP2022158811A/ja
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202245053A publication Critical patent/TW202245053A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之蝕刻方法於蝕刻處理時,適當地形成高深寬比之蝕刻形狀。 一種基板之蝕刻方法,其包括:工序(a),其係於腔室內之基板支持體上提供基板,該基板包含第1區域及第2區域,上述第1區域具有由氧化矽膜與氮化矽膜交替地積層而成之多層膜,上述第2區域具有單層之氧化矽膜;以及工序(b),其係利用由包含氫氟碳氣體之第1處理氣體所生成之電漿對上述基板進行蝕刻;且上述氫氟碳氣體包含由CxHyFz(x表示2以上之整數,y及z表示1以上之整數)表示且具有不飽和鍵之第1氫氟碳氣體。

Description

蝕刻方法及蝕刻處理裝置
本發明係關於一種蝕刻方法及蝕刻處理裝置。
專利文獻1中,揭示有一種對具有藉由氧化矽膜及氮化矽膜交替地設置而構成之多層膜的第1區域、以及具有單層之氧化矽膜的第2區域進行蝕刻之方法。根據專利文獻1中所記載之蝕刻方法,交替地重複執行生成包含氫氟碳之第1處理氣體之電漿的工序、以及生成包含氟碳之第2處理氣體之電漿的工序。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2016-51750號公報
[發明所欲解決之問題]
本發明之技術適當地形成高深寬比之蝕刻形狀。 [解決問題之技術手段]
本發明之一態樣係一種基板之蝕刻方法,其包括:工序(a),其係於腔室內之基板支持體上提供基板,上述基板包含第1區域及第2區域,上述第1區域具有由氧化矽膜與氮化矽膜交替地積層而成之多層膜,上述第2區域具有單層之氧化矽膜;以及工序(b),其係利用由包含氫氟碳氣體之第1處理氣體所生成之電漿對上述基板進行蝕刻;且上述氫氟碳氣體包含由CxHyFz(x表示2以上之整數,y及z表示1以上之整數)表示且具有不飽和鍵之第1氫氟碳氣體。 [發明之效果]
根據本發明,能夠適當地形成高深寬比之蝕刻形狀。
於半導體元件之製造工序中,對積層形成於半導體基板(以下,簡稱為「基板」)之表面之蝕刻對象層,進行將形成有圖案之遮罩層作為遮罩之蝕刻處理。該蝕刻處理一般利用電漿處理裝置進行。
此處,於近年來之電漿處理裝置中,作為上述蝕刻處理,有時執行對積層形成之基板深挖而形成孔之3D(three dimension,三維)之NAND(Not AND,反及) HARC(High Aspect Ratio Contact,高深寬比接觸)工序。於該3D之NAND HARC工序中,要求抑制彎曲(Bowing)等形狀異常並且形成高深寬比之孔。
然而,所形成之孔之深寬比越高,越難抑制形狀異常。尤其是,若為了提高產出量而提昇蝕刻速率(Etching Rate),則更容易於蝕刻孔之側壁產生彎曲。
本發明之技術係鑒於上述情況而完成者,其於蝕刻處理時抑制彎曲等形狀異常,適當地形成高深寬比之蝕刻形狀。以下,參照圖式對一實施方式之電漿處理系統及包含本實施方式之蝕刻方法之電漿處理方法進行說明。再者,本說明書及圖式中,對具有實質上相同之功能構成之要素標註相同符號,藉此省略重複說明。
<電漿處理系統> 首先,對一實施方式之電漿處理系統進行說明。圖1係表示電漿處理系統之構成之概略之縱剖視圖。
電漿處理系統包含電容耦合型之電漿處理裝置1及控制部2。電漿處理裝置1包含電漿處理腔室10、氣體供給部20、電源30及排氣系統40。又,電漿處理裝置1包含基板支持體11及氣體導入部。基板支持體11配置於電漿處理腔室10內。氣體導入部構成為將至少一種處理氣體導入至電漿處理腔室10內。氣體導入部包含簇射頭13。簇射頭13配置於基板支持體11之上方。於一實施方式中,簇射頭13構成電漿處理腔室10之頂部(ceiling)之至少一部分。於電漿處理腔室10之內部形成有由簇射頭13、電漿處理腔室10之側壁10a及基板支持體11所界定之電漿處理空間10s。電漿處理腔室10具有用以將至少一種處理氣體供給至電漿處理空間10s之至少一個氣體供給口、及用以將氣體自電漿處理空間10s排出之至少一個氣體排出口。側壁10a接地。簇射頭13及基板支持體11與電漿處理腔室10電性絕緣。
基板支持體11包含本體構件111及環狀組件112。本體構件111之上表面具有用以支持基板(晶圓)W之中央區域111a(基板支持面)、及用以支持環狀組件112之環狀區域111b(環狀支持面)。環狀區域111b於俯視下包圍中央區域111a。環狀組件112包含一個或複數個環狀構件,一個或複數個環狀構件中之至少一個為邊緣環。
於一實施方式中,本體構件111包含基台113及靜電吸盤114。基台113包含導電性構件。基台113之導電性構件作為下部電極發揮作用。靜電吸盤114配置於基台113之上表面。靜電吸盤114之上表面具有上述中央區域111a及環狀區域111b。
又,雖然省略了圖示,但基板支持體11亦可包含調溫模組,該調溫模組構成為將環狀組件112、靜電吸盤114及基板W中之至少一者調節成目標溫度。調溫模組亦可包含加熱器、傳熱介質、流路、或其等之組合。鹽水或氣體之類的傳熱流體於流路中流動。又,基板支持體11亦可包含傳熱氣體供給部,該傳熱氣體供給部構成為向基板W之背面與靜電吸盤114之上表面之間供給傳熱氣體(背面氣體)。
簇射頭13構成為將來自氣體供給部20之至少一種處理氣體導入至電漿處理空間10s內。簇射頭13具有至少一個氣體供給口13a、至少一個氣體擴散室13b、及複數個氣體導入口13c。供給至氣體供給口13a之處理氣體通過氣體擴散室13b自複數個氣體導入口13c導入至電漿處理空間10s內。又,簇射頭13包含導電性構件。簇射頭13之導電性構件作為上部電極發揮作用。再者,氣體導入部亦可除了包含簇射頭13以外,還包含安裝於形成在側壁10a之一個或複數個開口部的一個或複數個側氣體注入部(SGI:Side Gas Injector)。
氣體供給部20亦可包含至少一個氣體源21及至少一個流量控制器22。於一實施方式中,氣體供給部20構成為將至少一種處理氣體從各自對應之氣體源21經由各自對應之流量控制器22供給至簇射頭13。各流量控制器22例如亦可包含質量流量控制器或壓力控制式之流量控制器。進而,氣體供給部20亦可包含對至少一種處理氣體之流量進行調變或脈衝化之一個或一個以上之流量調變元件。
電源30包含經由至少一個阻抗匹配電路與電漿處理腔室10耦合之RF(Radio Frequency,射頻)電源31。RF電源31構成為對下部電極及/或上部電極供給源RF信號及偏壓RF信號之類的至少一個RF信號(RF功率)。藉此,由供給至電漿處理空間10s之至少一種處理氣體形成電漿。因此,RF電源31可作為電漿生成部之至少一部分發揮作用,上述電漿生成部構成為於電漿處理腔室10中由一種或一種以上之處理氣體生成電漿。又,藉由對下部電極供給偏壓RF信號,而於基板W中產生偏壓電位,從而能夠將所形成之電漿中之離子成分饋入至基板W。
於一實施方式中,RF電源31包含第1 RF產生部31a及第2 RF產生部31b。第1 RF產生部31a構成為經由至少一個阻抗匹配電路與下部電極及/或上部電極耦合,產生電漿生成用之源RF信號(源RF功率)。於一實施方式中,源RF信號具有13 MHz~150 MHz之範圍內之頻率。於一實施方式中,第1 RF產生部31a亦可構成為產生具有不同頻率之複數個源RF信號。所產生之一個或複數個源RF信號被供給至下部電極及/或上部電極。第2 RF產生部31b構成為經由至少一個阻抗匹配電路與下部電極耦合,產生偏壓RF信號(偏壓RF功率)。於一實施方式中,偏壓RF信號具有較源RF信號低之頻率。於一實施方式中,偏壓RF信號具有400 kHz~13.56 MHz之範圍內之頻率。於一實施方式中,第2 RF產生部31b亦可構成為產生具有不同頻率之複數個偏壓RF信號。所產生之一個或複數個偏壓RF信號被供給至下部電極。又,於各種實施方式中,源RF信號及偏壓RF信號中之至少一者亦可脈衝化。
又,電源30亦可包含與電漿處理腔室10耦合之DC(Direct Current,直流)電源32。DC電源32包含第1 DC產生部32a及第2 DC產生部32b。於一實施方式中,第1 DC產生部32a構成為連接於下部電極,產生第1 DC信號。所產生之第1偏壓DC信號施加至下部電極。於一實施方式中,第1 DC信號亦可施加至靜電吸盤內之電極之類的其他電極。於一實施方式中,第2 DC產生部32b構成為連接於上部電極,產生第2 DC信號。所產生之第2 DC信號施加至上部電極。於各種實施方式中,第1及第2 DC信號中之至少一者亦可脈衝化。再者,第1及第2 DC產生部32a、32b亦可追加設置於RF電源31,第1 DC產生部32a亦可代替第2 RF產生部31b而設置。
排氣系統40例如可連接於設置於電漿處理腔室10之底部的氣體排出口10e。排氣系統40亦可包含壓力調整閥及真空泵。藉由壓力調整閥對電漿處理空間10s內之壓力進行調整。真空泵亦可包含渦輪分子泵、乾式真空泵或其等之組合。
控制部2對使電漿處理裝置1執行本發明中所敍述之各種工序之電腦可執行命令進行處理。控制部2可構成為控制電漿處理裝置1之各要素,以執行此處所敍述之各種工序。於一實施方式中,控制部2之一部分或全部亦可包含於電漿處理裝置1。控制部2例如亦可包含電腦2a。電腦2a例如亦可包含處理部(CPU:Central Processing Unit(中央處理單元))2a1、記憶部2a2、及通訊介面2a3。處理部2a1可構成為基於儲存於記憶部2a2之程式進行各種控制動作。記憶部2a2亦可包含RAM(Random Access Memory,隨機存取記憶體)、ROM(Read Only Memory,唯讀記憶體)、HDD(Hard Disk Drive,硬碟驅動器)、SSD(Solid State Drive,固態驅動器)、或其等之組合。通訊介面2a3亦可經由LAN(Local Area Network,區域網路)等通訊線路與電漿處理裝置1之間進行通訊。
以上,對各種例示性實施方式進行了說明,但並不限定於上述例示性實施方式,亦可進行各種追加、省略、置換、及變更。又,可將不同實施方式中之要素組合而形成其他實施方式。
例如,於本實施方式中,以電漿處理系統具有電容耦合型(CCP;Capacitively Coupled Plasma(電容耦合電漿))之電漿處理裝置1之情形為例進行了說明,但電漿處理系統之構成並不限定於此。例如,電漿處理系統亦可具有包含感應耦合電漿(ICP;Inductively Coupled Plasma)、ECR電漿(Electron-Cyclotron-resonance plasma,電子迴旋共振電漿)、螺旋波激發電漿(HWP:Helicon Wave Plasma)、或表面波電漿(SWP:Surface Wave Plasma)等之電漿生成部的處理裝置。又,亦可使用包含各種類型之電漿生成部之處理裝置,上述各種類型之電漿生成部包含AC(Alternating Current,交流)電漿生成部及DC(Direct Current)電漿生成部。
<電漿處理方法> 繼而,對使用如上述般構成之電漿處理裝置1所進行之本發明之技術的基板W之蝕刻處理進行說明。圖3係表示一實施方式之基板W之蝕刻處理之流程的流程圖。
再者,於本實施方式中,以對如圖2(a)所示於表面積層形成有基底層G(例如SiN膜)、蝕刻對象層E、以及有機系或硼系之遮罩層M的基板W進行蝕刻處理之情形為例進行說明。於本實施方式中,蝕刻對象層E包含具有由氧化矽膜SiO與氮化矽膜SiN交替地積層而成之多層膜ON的第1區域R1、以及具有單層之氧化矽膜SiO的第2區域R2。作為有機系之遮罩層M,例如可使用包含旋塗式碳、碳化鎢或非晶形碳之膜。作為硼系之遮罩層M,例如可使用包含氮化硼或碳化硼之膜。
又,於本實施方式中,以如圖2(b)所示藉由電漿處理於蝕刻對象層E形成高深寬比之蝕刻孔H之情形為例進行說明。
於步驟S1中,將基板W搬入至電漿處理腔室10之內部,並將基板W載置於基板支持體11上。其後,對基板支持體11之下部電極供給直流電壓,藉此,基板W藉由庫侖力而吸附保持於靜電吸盤114(圖3之步驟S1:提供基板W)。
若基板W保持於靜電吸盤114,則使電漿處理腔室10之內部密閉,並藉由排氣系統40使電漿處理腔室10之內部減壓至所需之真空度。其後,執行複數次包括使用下述第1處理氣體之蝕刻處理(圖3之步驟S2:第1蝕刻處理)、及使用第2處理氣體之蝕刻處理(圖3之步驟S3:第2蝕刻處理)之序列。換言之,交替地重複執行步驟S2及步驟S3。於該等蝕刻處理中,如圖2(b)所示,蝕刻對象層E受到蝕刻,於基板W上形成遮罩圖案(蝕刻孔H)。
於步驟S2中,首先,將包含氫氟碳氣體之第1處理氣體自氣體供給部20經由簇射頭13供給至電漿處理空間10s。步驟S2中之氫氟碳氣體包含由CxHyFz(x表示2以上之整數,y及z表示1以上之整數)表示且具有不飽和鍵(例如C之雙鍵)之第1氫氟碳氣體。又,第1氫氟碳氣體包含氟取代基、例如三氟甲基(-CF3)。第1氫氟碳氣體之一例為C 3H 2F 4氣體(參照圖4之結構式)。 於步驟S2中,藉由第1 RF產生部31a對上部電極或下部電極供給電漿生成用之高頻電力HF,激發處理氣體生成電漿。又,進而,藉由第2 RF產生部31b對下部電極供給偏壓用之高頻電力LF,控制離子對基板W之入射。繼而,藉由所生成之電漿之作用,對形成於基板W上之蝕刻對象層E(第1區域R1及上述第2區域R2)實施蝕刻處理。
再者,第1處理氣體亦可除了包含第1氫氟碳氣體以外,還包含與第1氫氟碳氣體不同之第2氫氟碳氣體。第2氫氟碳氣體可為不具有不飽和鍵之氫氟碳氣體。又,第2氫氟碳氣體可為分子量較第1氫氟碳氣體小之氫氟碳氣體。第2氫氟碳氣體例如可為選自CH 2F 2氣體及CHF 3氣體之群中之至少一種。於第1處理氣體包含第2氫氟碳氣體之情形時,第1氫氟碳氣體相對於第2氫氟碳氣體之流量比可為0.3以上0.5以下。
又,第1處理氣體可進而包含選自C 4F 6氣體、CF 4氣體、C 4F 8氣體及C 3F 8氣體之群中之至少一種氟碳氣體。第1處理氣體亦可進而包含選自CO氣體、COS氣體、O 2氣體、NF 3氣體及SF 6氣體之群中之至少一種。第1處理氣體亦可進而包含惰性氣體(例如,Ar等稀有氣體或N2氣體)。
於步驟S2中,偏壓用之高頻電力LF例如可為20 kW以上。藉由將偏壓用之高頻電力LF設為20 kW以上,能夠使到達高深寬比之蝕刻孔H之底部之離子量增加,促進蝕刻孔H之底部之蝕刻。
又,於步驟S2中,電漿生成用之高頻電力HF及偏壓用之高頻電力LF均可週期性地供給。對上部電極或下部電極供給電漿生成用之高頻電力HF之期間可與對下部電極供給偏壓用之高頻電力LF之期間同步。規定供給電漿生成用之高頻電力HF之週期的頻率例如可為2 kHz以上10 kHz以下或2 kHz以上5 kHz以下。於此情形時,表示1週期內對上部電極供給電漿生成用之高頻電力HF之時間所占比率的占空比(duty ratio)例如可為20%以上60%以下或30%以上50%以下。藉由將電漿生成用之高頻電力HF之頻率及占空比控制於上述範圍內,能夠抑制電漿之解離,從而增加高分子之自由基之生成量。其結果,能夠增加附著於蝕刻孔H之側壁之作為保護膜之聚合物的量。
再者,由步驟S2中所使用之第1處理氣體中包含之第1氫氟碳氣體所生成之電漿對氮化矽膜SiN具有較對氧化矽膜SiO高之蝕刻速率。即,於步驟S2中,第1區域R1之蝕刻速率較第2區域R2之蝕刻速率高。因此,於步驟S2中,第1區域R1優先於第2區域R2被蝕刻。因此,於形成於第1區域R1之蝕刻孔H之深度與形成於第2區域R2之蝕刻孔H之深度的差較大之情形時,亦可實施下述步驟S3。
於步驟S3中,首先,將包含選自C 4F 6氣體、CF 4氣體、C 4F 8氣體及C 3F 8氣體之群中之至少一種氟碳氣體的第2處理氣體,自氣體供給部20經由簇射頭13供給至電漿處理空間10s。第2處理氣體可不包含第1氫氟碳氣體,或者亦可以與第1氫氟碳氣體相對於第1處理氣體之總流量之流量比不同之流量比包含第1氫氟碳氣體。於一例中,第2處理氣體以較第1氫氟碳氣體相對於第1處理氣體之總流量之流量比低之流量比包含第1氫氟碳氣體。 於步驟S3中,藉由第1 RF產生部31a對上部電極或下部電極供給電漿生成用之高頻電力HF,激發第2處理氣體生成電漿。又,進而,藉由第2 RF產生部31b對下部電極供給偏壓用之高頻電力LF,控制離子對基板W之入射。繼而,藉由所生成之電漿之作用,對形成於基板W上之蝕刻對象層E(第1區域R1及上述第2區域R2)實施蝕刻處理。
再者,第2處理氣體亦可包含與第1氫氟碳氣體不同之第3氫氟碳氣體。第3氫氟碳氣體亦可為與第2氫氟碳氣體相同之氣體。
又,第2處理氣體亦可進而包含選自CO氣體、COS氣體、O 2氣體、NF 3氣體及SF 6氣體之群中之至少一種。又,第2處理氣體亦可進而包含惰性氣體(例如,Ar等稀有氣體或N2氣體)。
於步驟S3中,偏壓用之高頻電力LF可為20 kW以上。又,電漿生成用之高頻電力HF及偏壓用之高頻電力LF均可週期性地供給,供給電漿生成用之高頻電力HF及偏壓用之高頻電力LF之期間可同步。規定供給電漿生成用之高頻電力HF之週期之頻率例如可為2 kHz以上10 kHz以下或2 kHz以上5 kHz以下。於此情形時,電漿生成用之高頻電力HF之占空比例如可為20%以上60%以下或30%以上50%以下。
由步驟S3中所使用之第2處理氣體中包含之氟碳氣體所生成之電漿對氧化矽膜SiO具有較對氮化矽膜SiN高之蝕刻速率。即,於步驟S3中,第2區域R2之蝕刻速率較第1區域R1之蝕刻速率高。因此,於步驟S3中,第2區域R2優先於第1區域R1被蝕刻。因此,於步驟S2中形成於第1區域R1之蝕刻孔H之深度與形成於第2區域R2之蝕刻孔H之深度的差較大之情形時,藉由實施步驟S3,能夠降低其差。
於本實施方式中,亦可交替地重複執行包含該步驟S2及步驟S3之序列。藉此,可進而抑制形成於第1區域R1之蝕刻孔H之深度與形成於第2區域R2之蝕刻孔H之深度之間產生差異。 再者,該序列中執行之步驟S2與步驟S3之處理時間之比率可任意決定。於一例中,就適當地於第1區域R1及第2區域R2兩者形成蝕刻孔H之觀點而言,步驟S2(第1蝕刻處理)之處理時間相對於步驟S3(第2蝕刻處理)之處理時間的比率可設為2以上3以下。
當對基板W之蝕刻對象層E之遮罩圖案(蝕刻孔H)之形成完成時,結束電漿處理裝置1中之蝕刻處理。(圖3之步驟S4:停止蝕刻處理)。
其後,實施蝕刻處理後之基板W藉由未圖示之基板搬送機構自電漿處理腔室10搬出(圖3之步驟S5:搬出基板W),對基板W之一系列電漿處理結束。
<本發明之技術之作用效果> 圖5係將實施例之蝕刻處理結果之趨勢作為一例示出之說明圖。圖5(a)表示僅供給CH 2F 2氣體之情形(參考例1)時的聚合物P於蝕刻孔H之側壁之形成量,圖5(b)表示將CH 2F 2氣體與C 3H 2F 4氣體混合而供給之情形(實施例1)時的聚合物P於蝕刻孔H之側壁之形成量,圖5(c)表示僅供給C 3H 2F 4氣體之情形(實施例2)時的聚合物P於蝕刻孔H之側壁之形成量。再者,於圖5(b)及(c)中,以將參考例1中之聚合物之形成量設為基準值「1」之情形時之比率分別表示聚合物之形成量。 又,圖6係將實施例之蝕刻處理結果之趨勢作為一例示出之說明圖。圖6(a)表示僅供給CH 2F 2氣體之情形時之蝕刻孔H之CD值之趨勢(參考例2)。圖6(b)表示將CH 2F 2氣體與C 3H 2F 4氣體混合而供給之情形時之蝕刻孔H之CD(Critical Dimension,關鍵尺寸)值之趨勢(實施例3)。
由圖5所示可知,隨著蝕刻處理中C 3H 2F 4氣體相對於CH 2F 2氣體之流量比變大,而聚合物P相對於蝕刻孔H之側壁之形成位置發生變化。具體而言,可知隨著所供給之C 3H 2F 4氣體之流量比增加,而聚合物P之形成位置自蝕刻孔H之上部側(shoulder(肩部))向底部側(Btm Side)遷移。
又,由圖6所示可知,藉由在蝕刻處理中對電漿處理空間10s不僅供給CH 2F 2氣體,而且供給C 3H 2F 4氣體,可抑制於蝕刻孔H形成彎曲。具體而言,可知實施例3中之BowCD值(蝕刻孔H中部之CD值)較參考例2中之BowCD值小。又,可知BtmCD值(蝕刻孔H底部之CD值)較參考例2中之BtmCD值大。換言之,可知TopCD值(蝕刻孔H上部之CD值)、BowCD值、BtmCD值之差變小,彎曲得以抑制,從而適當地形成高深寬比之蝕刻孔H。
如此,藉由在蝕刻處理中使用C 3H 2F 4氣體作為蝕刻氣體,能夠使作為反應產物之聚合物之形成位置向蝕刻孔H之底部側遷移,從而改善產生於該蝕刻孔H之彎曲。 認為其原因在於C 3H 2F 4氣體之反應性與CH 2F 2氣體相比較小。具體而言,聚合物之形成位置自蝕刻孔H之上部側向彎曲之產生位置即側壁面遷移,藉此,該蝕刻孔H之側壁面受到保護,從而彎曲之產生得以抑制。又,藉由到達高深寬比之蝕刻孔H之底部之離子量增加,可促進蝕刻孔H之底部之蝕刻。
又,於本實施方式中,如上所述,將偏壓用之高頻電力LF例如以20 kW以上之脈衝波之形式供給至下部電極。藉此,於該高頻電力LF之接通時間內,可將離子饋入至蝕刻孔H而進行蝕刻,於斷開時間內,可不將離子饋入至蝕刻孔H而於蝕刻孔H之側壁均勻且牢固地生成作為保護膜之聚合物。換言之,藉由在斷開時間內形成之聚合物,能夠保護接通時間內之蝕刻孔H之側壁,藉此抑制彎曲之產生。
繼而,圖7係將實施例之蝕刻處理結果之趨勢作為一例示出之說明圖。圖7(a)表示僅供給CH 2F 2氣體之情形(參考例3)時的蝕刻孔H之深度(蝕刻速率),圖7(b)表示將CH 2F 2氣體與C 3H 2F 4氣體混合而供給之情形(實施例4)時的蝕刻孔H之深度(蝕刻速率)。再者,圖7(b)係以將參考例3中之蝕刻孔H之深度設為基準值「1」之情形時之比率表示蝕刻孔H之深度。 再者,於實施例4中,為了適當地進行與參考例3之蝕刻速率之比較,於與參考例3相同之處理條件(處理時間、處理壓力、處理溫度等)下進行電漿處理,並且藉由調整處理氣體中之O 2氣體比率而進行調整,以使頸部(Neck)CD值一致。
由圖7所示可知,藉由在蝕刻處理中對電漿處理空間10s不僅供給CH 2F 2氣體,而且供給C 3H 2F 4氣體,而蝕刻孔H之形成速度即蝕刻速率(每單位時間之蝕刻量)變大。具體而言,本發明人等經過研究得知,藉由將C 3H 2F 4氣體作為蝕刻氣體混合至處理氣體中,能夠將蝕刻速率相對於(a)參考例3提高5%以上(圖示之例中為6%)。 認為其原因在於,如上所述,藉由到達高深寬比之蝕刻孔H之底部之離子量增加,促進了蝕刻孔H之底部之蝕刻。
繼而,圖8係表示使用包含C 3H 2F 4氣體之第1處理氣體之情形時蝕刻對象層E之蝕刻速率(橫軸)及矽系腔室內構件之蝕刻速率(縱軸)的曲線圖。圖8中,實線表示使用第1處理氣體之情形(實施例5)時的蝕刻對象層E及矽系腔室內構件之蝕刻速率,虛線表示不使用第1處理氣體之情形(參考例4)時的蝕刻對象層E及矽系腔室內構件之蝕刻速率。
於蝕刻對象層E之蝕刻處理(圖3之步驟S2及步驟S3)時,在對蝕刻對象層E進行蝕刻之同時,配置於電漿處理腔室10之內部之矽系構件(例如簇射頭13或環狀組件112等)受到蝕刻而被消耗。並且,於矽系腔室內構件如此被消耗之情形時,擔心電漿處理腔室10內部之電漿之生成環境發生變化,從而無法獲得對基板W均勻之蝕刻處理結果。
鑒於該方面,於本實施方式之蝕刻處理中,藉由使用包含作為第1氫氟碳氣體之C 3H 2F 4氣體之第1處理氣體進行基板W之蝕刻處理,能夠減少矽系腔室內構件之消耗。具體而言,由圖8所示可知,藉由將C 3H 2F 4氣體作為蝕刻氣體混合至處理氣體中,能夠使蝕刻速率與參考例4相比得以提高(如圖7所示提高5%以上),並且能夠將矽系腔室內構件之消耗(蝕刻速率)減少至50%左右。 認為其原因在於,如上所述,藉由C 3H 2F 4氣體包含氟取代基(實施方式中為三氟甲基)而使蝕刻速率得以提高,並且,藉由包含不飽和鍵(實施方式中為C之雙鍵)而能夠於矽系腔室內構件之表面形成作為保護膜之聚合物。
以上,由圖5~圖7所示之結果可知,藉由在蝕刻處理中使用C 3H 2F 4氣體作為蝕刻氣體,可抑制於蝕刻孔H之側壁產生彎曲。又,能夠提高該蝕刻處理中之蝕刻速率。
又,根據本實施方式,如上所述,藉由交替地重複執行使用包含第1氫氟碳氣體之第1處理氣體的電漿處理(步驟S2)、及使用包含氟碳氣體之第2處理氣體的電漿處理(步驟S3),能夠於作為蝕刻對象層E之第1區域R1及第2區域R2兩者適當地形成蝕刻孔H。
又,根據本實施方式,由圖8所示之結果可知,藉由在蝕刻處理中使用C 3H 2F 4氣體作為蝕刻氣體,能夠提高蝕刻速率,並且能夠減少矽系腔室內構件之消耗。
再者,根據以上之實施方式,藉由在蝕刻處理中組合使用第1氫氟碳氣體及第2氫氟碳氣體且控制各氫氟碳氣體之流量,能夠適當調整聚合物相對於蝕刻孔H之形成位置。
再者,於以上之實施方式中,如上所述,以蝕刻對象層E包含具有由氧化矽膜SiO與氮化矽膜SiN交替地積層而成之多層膜ON的第1區域R1、及具有單層之氧化矽膜SiO的第2區域R2之情形為例進行了說明,但形成於基板W之蝕刻對象層E之種類並不限定於此。具體而言,例如於基板W之表面僅形成有第1區域R1(由氧化矽膜SiO與氮化矽膜SiN交替地積層而成之多層膜ON)作為蝕刻對象層之情形時,亦可執行以上之實施方式之電漿處理。
又,於上述實施方式中,為了將形成於第1區域R1及第2區域R2之蝕刻孔H之深度控制為均勻而交替地重複執行步驟S2及步驟S3,但於如此僅形成第1區域R1作為蝕刻對象層之情形時,亦可適當省略優先蝕刻第2區域之步驟S3。又,於如此僅形成第1區域R1之情形時,亦可以使步驟S2之處理時間較步驟S3長之方式適當變更步驟S2與步驟S3之處理時間之比率。
再者,於以上之實施方式中,以對蝕刻對象層E形成作為遮罩圖案之蝕刻孔H之情形為例進行了說明,但形成於蝕刻對象層E之圖案化形狀並不限定於孔形狀,例如亦可為溝槽形狀。
再者,於以上之實施方式中,以第1氫氟碳氣體所包含之不飽和鍵為C之雙鍵且氟取代基為三氟甲基(-CF3)之情形為例進行了說明,但第1氫氟碳氣體之結構並不限定於此。即,例如第1氫氟碳氣體所包含之不飽和鍵亦可為C之三鍵,氟取代基可為任意之氟甲基(-CFx)。又,例如第1氫氟碳氣體所包含之不飽和鍵或氟甲基之數量亦不限定於一個。
應認為本次所揭示之實施方式於所有方面均為例示而並非限制性者。上述實施方式亦可於不脫離隨附之專利申請範圍及其主旨的情況下以各種形態進行省略、置換、變更。
1:電漿處理裝置 2:控制部 2a:電腦 2a1:處理部 2a2:記憶部 2a3:通訊介面 10:電漿處理腔室 10a:側壁 10e:氣體排出口 10s:電漿處理空間 11:基板支持體 13:簇射頭 13a:氣體供給口 13b:氣體擴散室 13c:氣體導入口 20:氣體供給部 21:氣體源 22:流量控制器 30:電源 31:RF電源 31a:第1 RF產生部 31b:第2 RF產生部 32:DC電源 32a:第1 DC產生部 32b:第2 DC產生部 40:排氣系統 111:本體構件 111a:中央區域 111b:環狀區域 112:環狀組件 113:基台 114:靜電吸盤 E:蝕刻對象層 G:基底層 H:蝕刻孔 M:遮罩層 ON:多層膜 P:聚合物 R1:第1區域 R2:第2區域 SiN:氮化矽膜 SiO:氧化矽膜 W:基板
圖1係模式性地表示電漿處理系統之構成之一例之縱剖視圖。 圖2(a)、(b)係表示形成於基板表面之蝕刻對象層之一例之說明圖。 圖3係表示一實施方式之電漿處理之主要工序之流程圖。 圖4係表示C 3H 2F 4氣體之結構式之一例之說明圖。 圖5(a)~(c)係表示實施例之蝕刻處理結果之一例之說明圖。 圖6(a)、(b)係表示實施例之蝕刻處理結果之一例之說明圖。 圖7(a)、(b)係表示實施例之蝕刻處理結果之一例之說明圖。 圖8係表示實施例之蝕刻處理結果之一例之說明圖。

Claims (20)

  1. 一種蝕刻方法,其包括: 工序(a),其係於腔室內之基板支持體上提供基板,該基板包含第1區域及第2區域,上述第1區域具有由氧化矽膜與氮化矽膜交替地積層而成之多層膜,上述第2區域具有單層之氧化矽膜;以及 工序(b),其係利用由包含氫氟碳氣體之第1處理氣體所生成之電漿對上述基板進行蝕刻;且 上述氫氟碳氣體包含由CxHyFz(x表示2以上之整數,y及z表示1以上之整數)表示且具有不飽和鍵之第1氫氟碳氣體。
  2. 如請求項1之蝕刻方法,其中第1氫氟碳氣體具有氟甲基(-CFx)。
  3. 如請求項1或2之蝕刻方法,其中上述第1氫氟碳氣體為C 3H 2F 4
  4. 如請求項1至3中任一項之蝕刻方法,其中上述第1處理氣體進而包含與上述第1氫氟碳氣體不同之第2氫氟碳氣體。
  5. 如請求項4之蝕刻方法,其中上述第2氫氟碳氣體不具有不飽和鍵。
  6. 如請求項4或5之蝕刻方法,其中上述第2氫氟碳氣體之分子量較上述第1氫氟碳氣體小。
  7. 如請求項4至6中任一項之蝕刻方法,其中於上述(b)中,上述第1氫氟碳氣體相對於上述第2氫氟碳氣體之流量比為0.3以上0.5以下。
  8. 如請求項4至7中任一項之蝕刻方法,其中上述第2氫氟碳氣體為選自CH 2F 2及CHF 3之群中之至少一種。
  9. 如請求項1至8中任一項之蝕刻方法,其進而包含工序(c),即,利用由包含氟碳氣體之第2處理氣體所生成之電漿對上述基板進行蝕刻。
  10. 如請求項9之蝕刻方法,其中上述第2處理氣體不包含上述第1氫氟碳氣體,或者以與上述第1氫氟碳氣體相對於上述第1處理氣體之總流量之流量比不同的流量比包含上述第1氫氟碳氣體。
  11. 如請求項9或10之蝕刻方法,其中上述第2處理氣體包含與上述第1氫氟碳氣體不同之第3氫氟碳氣體。
  12. 如請求項11之蝕刻方法,其中上述第3氫氟碳氣體不具有不飽和鍵,且分子量較上述第1氫氟碳氣體小。
  13. 如請求項9至12中任一項之蝕刻方法,其交替地重複上述(b)及上述(c)。
  14. 如請求項13之蝕刻方法,其中上述(b)中之處理時間相對於上述(c)中之處理時間的比率為2以上3以下。
  15. 如請求項1至14中任一項中任一項之蝕刻方法,其中上述第1處理氣體進而包含氟碳氣體。
  16. 如請求項9至15中任一項之蝕刻方法,其中上述氟碳氣體為選自C 4F 6氣體、CF 4氣體、C 4F 8氣體及C 3F 8氣體之群中之至少一種。
  17. 如請求項1至16中任一項之蝕刻方法,其中上述第1處理氣體進而包含選自CO氣體、COS氣體、O 2氣體、NF 3氣體及SF 6氣體之群中之至少一種。
  18. 如請求項1至17中任一項之蝕刻方法,其中於上述(b)中,對上述基板支持體供給20 kW以上之偏壓用之高頻電力。
  19. 如請求項1至18中任一項之蝕刻方法,其中於上述基板之表面具有有機系或硼系之遮罩。
  20. 一種蝕刻處理裝置,其包括: 處理腔室; 基板支持體,其設置於上述處理腔室之內部並保持基板;及 控制部,其控制對上述基板實施之蝕刻處理; 上述控制部包含: 工序(a),其係於上述基板支持體上提供上述基板,該基板包含第1區域及第2區域,上述第1區域具有由氧化矽膜與氮化矽膜交替地積層而成之多層膜,上述第2區域具有單層之氧化矽膜;以及 工序(b),其係利用由包含氫氟碳氣體之第1處理氣體所生成之電漿對上述基板進行蝕刻;且 上述控制部以如下方式對上述蝕刻處理進行控制: 上述氫氟碳氣體包含由CxHyFz(x表示2以上之整數,y及z表示1以上之整數)表示且具有不飽和鍵之第1氫氟碳氣體。
TW111109823A 2021-03-31 2022-03-17 蝕刻方法及蝕刻處理裝置 TW202245053A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2021061185 2021-03-31
JP2021-061185 2021-03-31
JP2021132776A JP2022158811A (ja) 2021-03-31 2021-08-17 エッチング方法及びエッチング処理装置
JP2021-132776 2021-08-17

Publications (1)

Publication Number Publication Date
TW202245053A true TW202245053A (zh) 2022-11-16

Family

ID=83406644

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111109823A TW202245053A (zh) 2021-03-31 2022-03-17 蝕刻方法及蝕刻處理裝置

Country Status (4)

Country Link
US (1) US20220319860A1 (zh)
KR (1) KR20220136136A (zh)
CN (1) CN115148593A (zh)
TW (1) TW202245053A (zh)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6328524B2 (ja) 2014-08-29 2018-05-23 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
CN115148593A (zh) 2022-10-04
US20220319860A1 (en) 2022-10-06
KR20220136136A (ko) 2022-10-07

Similar Documents

Publication Publication Date Title
KR20180000692A (ko) 에칭 처리 방법
US9224616B2 (en) Etching method and plasma processing apparatus
US20210358716A1 (en) Plasma processing apparatus and plasma processing method
TW202245053A (zh) 蝕刻方法及蝕刻處理裝置
WO2022215556A1 (ja) エッチング方法及びエッチング処理装置
JP2022158811A (ja) エッチング方法及びエッチング処理装置
WO2022244638A1 (ja) プラズマ処理装置及びrfシステム
TW201937593A (zh) 電漿蝕刻方法及電漿蝕刻裝置
TW202247281A (zh) 蝕刻方法及電漿處理裝置
US20230377851A1 (en) Etching method and plasma processing apparatus
WO2023058582A1 (ja) エッチング方法及びエッチング装置
US20230086580A1 (en) Etching method and plasma processing apparatus
US20230100292A1 (en) Plasma processing method and plasma processing system
US20230268190A1 (en) Plasma processing method and plasma processing system
WO2024014398A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP2024013628A (ja) エッチング方法及びプラズマ処理装置
JP2023032693A (ja) エッチング方法及びプラズマエッチング装置
TW202412101A (zh) 蝕刻方法及電漿處理裝置
JP2024039240A (ja) エッチング方法及びプラズマ処理装置
TW202405936A (zh) 基板處理方法
JP2023109497A (ja) エッチング方法及びプラズマ処理装置
TW202303749A (zh) 基板處理方法及基板處理裝置
TW202230512A (zh) 電漿處理方法及電漿處理裝置
KR20220020775A (ko) 에칭 방법 및 플라즈마 처리 시스템
JP2024035702A (ja) プラズマ処理装置及びプラズマ処理方法