KR20200027568A - 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭 - Google Patents

순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭 Download PDF

Info

Publication number
KR20200027568A
KR20200027568A KR1020207006051A KR20207006051A KR20200027568A KR 20200027568 A KR20200027568 A KR 20200027568A KR 1020207006051 A KR1020207006051 A KR 1020207006051A KR 20207006051 A KR20207006051 A KR 20207006051A KR 20200027568 A KR20200027568 A KR 20200027568A
Authority
KR
South Korea
Prior art keywords
etching
sidewall
protective film
feature
iteration
Prior art date
Application number
KR1020207006051A
Other languages
English (en)
Other versions
KR102574582B1 (ko
Inventor
크와메 이슨
필연 박
마크 나오시 가와구치
승-호 박
샤오-웨이 창
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200027568A publication Critical patent/KR20200027568A/ko
Application granted granted Critical
Publication of KR102574582B1 publication Critical patent/KR102574582B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

리세스된 피처의 측벽들로부터 원치 않는 재료를 측방향으로 에칭하기 위한 방법들 및 장치가 본 명세서에 기술된다. 다양한 실시예들에서, 방법은 측벽들의 부분을 에칭하는 단계, 측벽들의 부분 위에 보호 막을 증착하는 단계, 및 원치 않는 재료가 리세스된 피처의 전체 깊이로부터 제거될 때까지 에칭 동작 및 증착 동작을 순환적으로 수행하는 단계를 수반한다. 에칭 동작 및 증착 동작 각각은 피처의 측벽들을 따라 특정한 깊이로 타깃팅될 수도 있다. 일부 경우들에서, 원치 않는 재료는 피처의 하단부로부터 위로 제거되고, 다른 경우들에서, 원치 않는 재료는 피처의 상단부로부터 아래로 제거된다. 이들의 일부 조합이 또한 사용될 수도 있다.

Description

순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
관련 출원에 대한 교차 참조
본 출원은 2017년 8월 2일 출원되고, 명칭이 "HIGH ASPECT RATIO SELECTIVE LATERAL ETCH USING CYCLIC PASSIVATION AND ETCHING"인 미국 특허 출원번호 제 15/667,551 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 본 명세서에 참조로서 인용된다.
다양한 반도체 프로세싱 스킴들 (schemes) 에서, 고 종횡비 피처들이 재료의 스택들 내로 에칭된다. 예시적인 애플리케이션들은, 이로 제한되는 것은 아니지만, DRAM 및 3D NAND 디바이스들의 제조와 같은 메모리 애플리케이션을 포함한다. 종종, 스택들은 유전체 재료를 포함하고, 산화물 및 질화물 또는 산화물 및 폴리실리콘과 같은 재료들의 교번하는 층들을 포함할 수도 있다. (예를 들어, 리세스된 실린더들, 트렌치들, 등을 형성하기 위해) 고 종횡비 피처들이 에칭된 후, 선택적인 에칭 프로세스가 스택의 재료들 중 하나를 에칭백하도록 (etch back) 발생한다. 일부 경우들에서, 라이너 재료가 이 선택적인 에칭 후에 증착될 수도 있다. 이어서 재료 (예를 들어, 많은 경우들에서 금속, 폴리실리콘, 또는 유전체) 가 선택적으로 에칭백된 영역들을 포함하여, 피처의 측벽들을 따라 증착된다. 이어서 이 재료는 이전에 선택적으로 에칭백된 영역 각각에 증착된 재료를 전기적으로 절연하기 위해 제거되어야 한다. 이 프로세스 스킴은 도 1a 내지 도 1e를 참조하여 이하에 더 논의된다.
본 명세서의 다양한 실시예들은 반도체 기판으로부터 원치 않는 (unwanted) 재료를 에칭하기 위한 방법들, 장치, 및 시스템들에 관한 것이다. 많은 경우들에서, 원치 않는 재료는 반도체 기판 상의 리세스된 피처의 측벽으로부터 측방향으로 에칭된다. 에칭은 측벽의 부분 위에 보호 막을 증착하는 증착 동작과 순환되는, 스테이지들로 발생할 수도 있다. 이 보호 막은 오버에칭 (over-etching) 으로부터 측벽의 커버된 부분을 보호하고 나중의 에칭 동작 동안 피처 내로 에칭 반응물질들의 더 아래로 전달을 촉진한다. 일부 실시예들에서, 원치 않는 재료는 측벽의 상단부로부터 측벽의 하단부로 피처로부터 제거된다. 다른 실시예들에서, 이 순서는 역전되고 원치 않는 재료는 측벽의 하단부로부터 측벽의 상단부로 피처로부터 제거된다.
개시된 실시예들의 일 양태에서, 방법은 (a) 기판을 에칭 플라즈마에 노출함으로써 에칭 동작을 수행하는 단계로서, 에칭 플라즈마는 에칭 반응물질을 포함하는 리모트로 생성된 ICP (inductively coupled plasma) 를 포함하고, 에칭 동작은 피처의 측벽의 부분으로부터 원치 않는 재료를 측방향으로 에칭하는, 에칭 동작을 수행하는 단계; (b) 기판을 증착 플라즈마에 노출함으로써 증착 동작을 수행하는 단계로서, 증착 플라즈마는 증착 반응물질을 포함하는 CCP (capacitively coupled plasma) 를 포함하고, 증착 동작은 피처의 측벽의 제 2 부분 위에 보호 막을 형성하고, 보호 막은 측벽의 상단부 근방에서 가장 두껍고 측벽의 하단부로는 완전히 연장하지 않도록 컨포멀하지 않은 (non-conformal), 증착 동작을 수행하는 단계; 및 (c) 원치 않는 재료가 피처의 전체 측벽을 따라 측방향으로 에칭될 때까지 단계 (a) 의 에칭 동작 및 단계 (b) 의 증착 동작을 순환적으로 수행하는 단계로서, 단계 (a) 의 상이한 반복들은 피처의 측벽의 상이한 부분들로부터 원치 않는 재료를 측방향으로 제거하고, 단계 (b) 의 상이한 반복들은 피처의 측벽의 상이한 제 2 부분들 위에 보호 막을 증착하고, 그리고 단계 (a) 의 에칭 동작의 적어도 일 반복 동안, 측방향으로 에칭되는 상기의 측벽의 부분은 단계 (b) 의 이전 반복에서 증착된 보호 막에 의해 커버되는 측벽의 제 2 부분 바로 아래인, 상기 단계 (a) 의 에칭 동작 및 단계 (b) 의 증착 동작을 순환적으로 수행하는 단계를 포함한다.
일부 실시예들에서, 단계 (a) 의 제 1 반복이 측벽 상의 보호 막 없이 수행되도록 단계 (a) 의 에칭 동작의 제 1 반복이 단계 (b) 의 증착 동작의 제 1 반복 전에 수행되고, 단계 (a) 의 제 1 반복에서 측방향으로 에칭되는 측벽의 부분은 측벽의 상단 부분이다. 일부 이러한 경우들에서, 단계 (b) 의 증착 동작의 제 1 반복은 단계 (a) 의 에칭 동작의 제 1 반복에서 측방향으로 에칭되는 측벽의 동일한 부분 상에 보호 막을 형성한다. 단계 (a) 의 에칭 동작의 제 2 반복이 단계 (b) 의 증착 동작의 제 1 반복 후에 수행될 수도 있고, 단계 (a) 의 제 2 반복에서 측방향으로 에칭되는 측벽의 부분은 단계 (a) 의 제 1 반복에서 측방향으로 에칭되는 측벽의 부분과 비교하여 피처에서 보다 깊을 수도 있다. 이들 또는 다른 경우들에서, 단계 (b) 의 증착 동작의 반복 각각에서 보호 막이 위에 형성되는 측벽의 제 2 부분은 보호 막이 단계 (a) 의 이전 반복에서 방금 에칭된 측벽의 부분을 커버하도록 단계 (b) 에서 항상 형성되도록, 원치 않는 재료가 단계 (a) 의 에칭 동작의 직전 반복에서 제거되는 측벽의 부분을 포함할 수도 있다.
특정한 구현예들에서, 단계 (a) 및 단계 (b) 의 부가적인 반복들이 수행될 때, 원치 않는 재료는 측벽의 상단부로부터 측벽의 하단부로 차례대로 제거된다. 다수의 실시예들에서, 보호 막은 단계 (b) 의 부가적인 반복들이 수행될 때 측벽을 따라 점진적으로 보다 큰 깊이에 도달하도록 형성된다. 일부 이러한 경우들에서, 보호 막은 단계 (b) 의 상이한 반복들의 상이한 세트들의 증착 조건들을 사용하여 형성된다. 일 예에서, 단계 (b) 의 상이한 반복들의 상이한 세트들의 증착 조건들은: 기판 지지부 온도, 압력, 증착 반응물질의 플로우 레이트, 및 CCP를 생성하도록 사용된 RF 전력으로 구성된 그룹으로부터 선택된 적어도 하나의 변수에 대해 서로로부터 가변한다.
또 다른 실시예에서, 단계 (b) 의 증착 동작의 제 1 반복은 보호 막이 측벽 상에 존재하는 동안 단계 (a) 의 제 1 반복이 수행되도록 단계 (a) 의 에칭 동작의 제 1 반복 전에 수행된다. 일부 구현예들에서, 단계 (a) 및 단계 (b) 의 부가적인 반복들이 수행될 때, 원치 않는 재료는 측벽의 하단부로부터 측벽의 상단부로 차례대로 제거된다. 이들 또는 다른 구현예들에서, 보호 막은 단계 (b) 의 부가적인 반복들이 수행될 때, 측벽을 따라 점진적으로 얕은 깊이들에 도달하도록 형성된다. 일부 경우들에서, 방법은 단계 (a) 의 에칭 동작의 반복 각각 후에, 그리고 단계 (b) 의 증착 동작의 후속하는 반복에서 보호 막을 증착하기 전에, 측벽으로부터 보호 막을 스트립핑하는 단계를 더 포함하고, 보호 막을 스트립핑하는 단계는 산소를 포함하는 스트립핑 플라즈마에 기판을 노출하는 것을 포함한다.
특정한 실시예들에서, 보호 막은 하이드로플루오로카본-기반 폴리머 막이다. 일부 다른 경우들에서, 보호 막은 실리콘, 산화 실리콘, 또는 질화 실리콘이다. 에칭 반응물질은 다양한 경우들에서 불소 라디칼들을 생성할 수도 있다. 특정한 구현예들에서, 원치 않는 재료는 금속을 포함한다. 금속은 원소적 금속일 수도 있다. 일부 경우들에서, 원소적 금속은 텅스텐이다. 일부 다른 구현예들에서, 원치 않는 재료는 폴리실리콘이다. 일부 다른 구현예들에서, 원치 않는 재료는 질화 실리콘이다.
일부 경우들에서, 단계 (a) 및 단계 (b) 는 동일한 반응 챔버에서 발생하고, 반응 챔버는, 가스 분배 디바이스에 의해 분리된 하부 챔버 영역 및 상부 챔버 영역, 상부 챔버 영역 내에서 ICP를 생성하는 ICP 소스, 및 하부 챔버 영역 내에서 CCP를 생성하는 CCP 소스를 포함한다. 일부 다른 경우들에서, 단계 (a) 및 단계 (b) 는 상이한 반응 챔버들에서 발생하고, 방법은 단계 (a) 및 단계 (b) 를 수행하기 위해 필요하다면, 상이한 반응 챔버들 사이에서 기판을 이송하는 단계를 더 포함한다.
특정한 구현예들에서, 피처는 제 1 스택 재료 및 제 2 스택 재료의 교번하는 층들을 포함하는 스택에 형성되고, 단계 (a) 의 에칭 동작은 제 1 스택 재료 및 제 2 스택 재료 중 적어도 하나를 노출하고, 그리고 단계 (a) 의 에칭 동작은 원치 않는 재료가 제 1 스택 재료 및 제 2 스택 재료와 비교하여 우선적으로 제거되도록 선택적이다.
본 명세서의 실시예들의 또 다른 양태에서, 기판 상의 피처의 측벽으로부터 원치 않는 재료를 측방향으로 에칭하기 위한 장치가 제공되고, 장치는, 하부 챔버 영역 및 상부 챔버 영역을 포함하는 반응 챔버; 상부 챔버 영역으로부터 하부 챔버 영역을 분리하는 가스 분배 디바이스; 상부 챔버 영역에서 ICP를 생성하는 ICP 소스; 하부 챔버 영역에서 CCP를 생성하는 CCP 소스; 가스 상 반응물질들을 상부 챔버 영역으로 전달하기 위한 제 1 유입구; 가스 상 반응물질들을 하부 챔버 영역으로 전달하기 위한 제 2 유입구; 하부 챔버 영역으로부터 가스 상 재료를 제거하기 위한 유출구; 및 제어기를 포함하고, 제어기는, (a) 기판이 하부 챔버 영역에 위치되는 동안 상부 챔버 영역에서 에칭 반응물질을 포함하는 유도 결합 에칭 플라즈마를 생성함으로써 에칭 동작을 수행하고, 에칭 동작은 피처의 측벽의 부분으로부터 원치 않는 재료를 측방향으로 에칭하고; (b) 기판이 하부 챔버 영역 내에 위치되는 동안 하부 챔버 영역에서 증착 반응물질을 포함하는 용량 결합 증착 플라즈마를 생성함으로써 증착 동작을 수행하고, 증착 동작은 피처의 측벽의 제 2 부분 위에 보호 막을 형성하고, 보호 막은 측벽의 상단부 근방에서 가장 두껍고 측벽의 하단부로 완전히 연장하지 않도록 컨포멀하지 않고; 그리고 (c) 원치 않는 재료가 피처의 전체 측벽을 따라 측방향으로 에칭될 때까지 (a) 의 에칭 동작 및 (b) 의 증착 동작을 순환적으로 수행하고, (a) 의 상이한 반복들은 피처의 측벽의 상이한 부분들로부터 원치 않는 재료를 측방향으로 에칭하고, (b) 의 상이한 반복들은 피처의 측벽의 상이한 제 2 부분들 위에 보호 막을 증착하고, 그리고 (a) 의 에칭 동작의 적어도 일 반복 동안, 측방향으로 에칭되는 측벽의 부분은 (b) 의 이전 반복에서 증착된 보호 막에 의해 커버되는 측벽의 제 2 부분 바로 아래이다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1a 내지 도 1f는 다양한 프로세스 단계들 동안 부분적으로 제조된 반도체 기판을 예시한다.
도 1g 및 도 1h는 리세스된 피처 내의 상이한 위치들에서 에천트의 농도를 기술하는 그래프들이고, 도 1g는 보호 막이 측벽들 상에 제공되지 않는 경우에 대응하고, 그리고 도 1h는 보호 막이 측벽들 상에 제공되는 경우에 대응한다.
도 2a는 특정한 실시예들에 따른 고 종횡비 피처를 측방향으로 에칭하는 방법을 기술하는 플로우차트이고, 재료는 피처의 상단 부분으로부터 먼저 제거되고 피처의 하단 부분으로부터 나중에 제거된다.
도 2b는 특정한 실시예들에 따른, 고 종횡비 피처를 측방향으로 에칭하는 방법을 기술하는 플로우차트이고, 재료는 피처의 하단 부분으로부터 먼저 제거되고 피처의 상단 부분으로부터 나중에 제거된다.
도 3a 내지 도 3g는 도 2a에 기술된 다양한 프로세스 단계들 동안 부분적으로 제조된 반도체 기판을 예시한다.
도 4a 내지 도 4h는 도 2b에 기술된 다양한 프로세스 단계들 동안 부분적으로 제조된 반도체 기판을 예시한다.
도 5는 특정한 실시예들에 따른, 본 명세서에 기술된 바와 같이 에칭 및 증착하도록 사용될 수도 있는 예시적인 장치를 도시하는 기능적 블록도이다.
도 6a는 특정한 실시예들에 따른, 리세스된 피처의 측벽들을 측방향으로 에칭하는 방법을 기술하는 플로우차트이다.
도 6b는 특정한 실시예들에 따른, 리세스된 피처의 측벽들 상에 보호 막을 증착하는 방법을 기술하는 플로우차트이다.
도 7a 내지 도 7k는 에칭 동작 각각이 발생되는 측벽이 수직이 아니도록 (non-vertical) 특정한 에칭 양을 달성하도록 제어되는, 일 실시예에 따른 다양한 프로세스 단계들 동안 부분적으로 제조된 반도체 기판을 도시한다.
도 7l 내지 도 7n은 특정한 실시예들에 따른, 상이한 측벽 프로파일들을 갖는 에칭된 피처들을 예시한다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"가 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 임의의 많은 단계들 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 실시예들이 웨이퍼 상에서 구현된다고 가정한다. 그러나, 실시예들은 그렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 개시된 실시예들의 장점을 취할 수도 있는 다른 워크피스들이 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들, 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 완전한 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않는다는 것이 이해될 것이다.
도 1a 내지 도 1f는 특정한 실시예들에 따른, 다양한 시점들에서 부분적으로 제조된 기판을 도시한다. 도 1a에서, 기판은 다수의 교번하는 제 1 재료 (102) 층 및 제 2 재료 (103) 층을 갖는 스택을 포함한다. 일 예에서, 제 1 재료 (102) 는 산화 실리콘과 같은 산화물 재료이고 제 2 재료 (103) 는 폴리실리콘이고, 또는 그 반대이다. 또 다른 예에서, 제 1 재료 (102) 는 산화물 재료이고 제 2 재료 (103) 는 질화물 재료 (예를 들어, 질화 실리콘) 이고, 또는 그 반대이다. 일반적으로 말하면, 스택은 로우-k 유전체 재료들, 질화 실리콘 (Si3N4), 질화 티타늄 (TiN), 및 산화 실리콘 (SiO2) 을 포함할 수도 있다. 도 1b에 도시된 바와 같이, 스택이 증착된 후, 피처 (104) 가 스택 내로 에칭된다. 피처는 고 종횡비 피처일 수도 있다. 다양한 실시예들에서, 피처는 약 20 내지 200의 깊이 대 폭 종횡비를 가질 수도 있다. 일부 경우들에서, 피처는 적어도 약 20, 또는 적어도 약 40, 또는 적어도 약 60, 또는 적어도 약 100, 또는 적어도 약 150의 종횡비를 가질 수도 있다. 이들 또는 다른 경우들에서, 피처는 약 200 이하, 또는 약 120 이하, 약 100 이하, 또는 약 80 이하의 종횡비를 가질 수도 있다. 일반적으로, 본 명세서에 기술된 기법들은 넓은 범위의 종횡비들에 대한 프로세싱을 인에이블한다. 종횡비는 피처의 깊이를 피처의 임계 직경 (예를 들어, 폭) 으로 나눔으로써 계산된다. 일부 경우들에서, 피처는 약 30 ㎄ 내지 120 ㎄, 또는 약 45 ㎄ 내지 60 ㎄의 깊이를 가질 수도 있다. 이들 또는 다른 경우들에서, 피처는 약 250 A 내지 1500 A, 또는 약 500 A 내지 1000 A의 임계 직경을 가질 수도 있다.
다음에, 도 1c에 도시된 바와 같이, 선택적인 에칭이 제 1 재료를 실질적으로 보존하는 동안 제 2 재료를 에칭백하도록 수행된다. 이 선택적인 에칭은 리세스된 포켓들 (105) 을 형성한다. 도 1d에 도시된 바와 같이, 라이너 (106) (예를 들어, 일부 경우들에서, 질화물 재료이지만, 다른 재료들이 또한 사용될 수도 있음) 가 리세스된 포켓들 (105) 을 라이닝하는 것을 포함하여, 피처의 측벽들 (104) 을 따라 증착될 수도 있다. 일부 경우들에서, 라이너 (106) 는 생략될 수도 있다. 다음에, 도 1e에 도시된 바와 같이, 재료 (107) (예를 들어, 특정한 경우들에서 금속, 폴리실리콘, 또는 유전체 재료) 는 리세스된 포켓들 (105) 내를 포함하여, 피처의 측벽들 (104) 을 따라 증착된다. 다음에, 도 1f에 도시된 바와 같이, 재료 (107) 의 부분이 피처의 측벽들 (104) 로부터 제거된다. 이 에칭 동작은 이전에 리세스된 포켓들 (105) 각각에 형성된 재료 (107) 의 상이한 부분들을 전기적으로 절연한다. 이 에칭 동작이 측벽들을 따라 2 이상의 재료들 (예를 들어, 재료 (107) 및 라이너 (106), 또는 재료 (107) 및 제 1 재료 (102)) 의 노출을 발생시키기 때문에, 에칭은 선택적이어야 한다. 예를 들어, 에칭 동작은 라이너 (106) 및/또는 제 1 재료 (102) 를 실질적으로 보존하는 동안, 재료 (107) 를 에칭하도록 최적화될 수도 있다.
실제로, 고 종횡비 피처들에 대해 이 에칭 동작을 수행하는 것이 어려웠다. 예를 들어, 습식 에칭이 사용되는 경우들에서, 에칭 프로세스는 제어하는 것이 매우 어렵다. 점진적으로 좁아지는 피처들 및 고 종횡비들을 사용하면, 에칭 프로세스를 개시하기 위해 피처들 내로 (특히 피처들의 하단부로) 습식 화학물질을 전달하는 것은 어렵고, 또한 에칭이 완료된 후 피처들로부터 습식 화학물질을 제거하는 것이 어렵다. 이들 어려움들은 모세관 효과들로 인해 발생할 수도 있다. 일부 경우들에서, 습식 화학물질의 제거는 패턴 붕괴를 야기할 수 있고, 피처들의 측벽들은 서로 위로 붕괴하고, 사실상 피처들을 못쓰게 한다. 더욱이, 습식 에칭 프로세스는 일단 기판의 관련 부분에 화학물질이 콘택트하면, 에칭이 매우 급속하게 발생하기 때문에 제어하는 것이 어렵다. 이들 효과들은 다양한 프로세싱 스킴들에 습식 에칭을 통합하는 것을 어렵게 만든다.
종래의 건식 에칭이 사용되는 경우들에서, 균일한 방식으로 고 종횡비 피처들의 측벽들을 측방향 에칭하는 것이 이전에 가능하지 않았다. 예를 들어, 도 1g에 도시된 바와 같이, 에칭 화학물질은 피처의 상단부 근방에서 실질적으로 보다 농축되고/가용해지고, 피처의 하단부 근방에서 실질적으로 공핍된다. 이 공핍은 피처 (예를 들어, 반응, 흡착, 등을 통해) 피처의 상단부 근방의 측벽들에 대한 에칭 종의 손실로부터 발생한다. 도 1e 및 도 1f를 참조하면, 종래의 건식 에칭 기법들은 피처 (104) 의 상단부 근방에서 재료 (107) 의 오버에칭을 발생시키고, 피처 (104) 의 중간 및/또는 하단부에서 재료 (107) 의 언더에칭 (under-etching) (많은 경우들에서 무 에칭) 을 발생시킨다. 도 1h는 이하에 더 논의된다.
본 명세서의 다양한 실시예들에서, 고 종횡비 피처가 (a) 측벽들의 부분을 따라 보호 막의 증착, 및 (b) 실질적으로 보호 막이 없는 (free) 영역에서 측벽들을 건식 에칭하는 단계를 수반하는 기법을 사용하여 측방향으로 에칭된다. 이들 단계들은 임의의 회수로 반복될 수도 있고, 어떤 단계가 먼저 수행될 수도 있다. 일부 경우들에서, 이들 단계들은 보호 막을 제거하는 것을 수반하는 단계로 순환될 수도 있다. 다른 경우들에서, 보호 막은 피처가 완전히 에칭된 후 제거된다. 피처의 측벽들 상의 원치 않는 재료는 상이한 에칭 동작들에서 피처의 상이한 부분이 에칭되는 것과 함께, 피처의 하단부로부터 위로 제거될 수도 있고, 또는 피처의 상단부로부터 아래로 제거될 수도 있다. 도 2a 및 도 2b는 개시된 실시예들을 실시하는 대안적인 방법들에 대한 플로우차트들을 제공한다. 도 2a는 원치 않는 측벽 재료가 피처의 상단부로부터 피처의 하단부로 제거되는 프로세스를 기술하는 한편, 도 2b는 원치 않는 측벽 재료가 피처의 하단부로부터 피처의 상단부로 제거되는 프로세스를 기술한다. 도 2a는 도 3a 내지 도 3g에 도시된 부분적으로 제조된 기판들과 관련하여 기술되고, 도 2b는 도 4a 내지 도 4h에 도시된 부분적으로 제조된 기판들과 관련하여 기술된다.
도 2a의 방법은, 내부에 형성된 고 종횡비 피처를 갖는 기판이 프로세싱 장치에 수용되는, 동작 201에서 시작된다. 많은 경우들에서, 기판은 내부에 형성된 복수의 피처들을 가질 것이다. 예시적인 종횡비들은 상기 언급된 바와 같이, 약 20 내지 200이다. 도 3a를 참조하면, 피처 (304) 는 교번하는 제 1 재료 (302) 층 및 제 2 재료 (303) 층에 형성될 수도 있다. 각각의 제 1 재료 및 제 2 재료 (302 및 303) 는 각각 도 1a 내지 도 1f의 제 1 재료 및 제 2 재료 (102 및 103) 와 관련하여 기술된 재료들일 수도 있다. 선택가능하게, 도시된 바와 같이, 라이너 (306) 가 제공될 수도 있다. 재료 (308) 및 원치 않는 재료 (309) 는 동일한 타입의 재료이고, 도 1e 및 도 1f의 재료 (107) 와 관련하여 기술된 재료일 수도 있다. 상이한 참조 번호들 308 및 309는 재료의 위치에 관련된다. 구체적으로, 재료 (308) 는 제 2 재료 (303) 가 (예를 들어, 도 1c, 도 1e, 및 도 1f와 관련하여 기술된 바와 같이) 에칭백될 때 형성되는 리세스들 내에 제 1 재료 (302) 의 층들 사이에 위치되고, 원치 않는 재료 (309) 는 이들 리세스들의 외부, 피처 (304) 의 측벽들을 따라 위치된다. 다수의 실시예들의 일 목적은 재료 (308) 를 실질적으로 보존하는 동안 원치 않는 재료 (309) 를 제거하는 것이다.
다음에, 동작 203에서 도 3b에 도시된 바와 같이, 피처 (304) 의 측벽들의 상단부를 측방향으로 에칭하도록 플라즈마 에칭이 수행된다. 에칭 프로세스는 이하에 더 기술된다. 에칭은 에칭 프로세스의 본질로 인해 피처 (304) 의 상단 부분으로 제한된다. 예를 들어, 도 1g에 도시된 바와 같이, 에칭 화학물질은 피처 (304) 의 상단부 근방에서 농축되고, 피처 (304) 의 보다 아래에서 실질적으로 공핍된다. 피처 (304) 내로 깊이 침투하는 에천트가 거의 없기 때문에, 에칭은 피처 (304) 의 상단 부분으로 제한된다. 에칭 프로세스는 선택적인 에칭이고, 존재한다면, 라이너 (306) 그리고/또는 노출된다면, 제 1 재료 (302) 를 실질적으로 보존하는 동안, 재료 (309) 를 제거하도록 맞춤된다는 것을 의미한다.
다음에, 동작 205에서, 도 3c에 도시된 바와 같이, 보호 막 (310) 이 피처 (304) 의 측벽들의 부분 위에 증착된다. 증착 프로세스는 이하에 더 논의된다. 일반적으로, 보호 막 (310) 은 원치 않는 재료 (309) 와 비교하여 보호 막 (310) 이 훨씬 보다 느리게 에칭되도록 (또는 전혀 에칭되지 않도록), 후속하는 에칭 동작에서 사용된 에칭 화학물질에 내성이 있는 재료로 이루어진다. 제 1 반복에서, 보호 막 (310) 은 측벽들의 상단 부분 상에 형성될 수도 있다. 이는 원치 않는 재료 (309) 가 이전에 제거된, 피처 (304) 의 동일한 부분에 대응할 수도 있다. 원치 않는 재료 (309) 가 제거된, 영역들을 형성하도록 보호 막 (310) 을 타깃팅함으로써, 이들 영역들은 앞으로 에칭 단계들에서 오버에칭으로부터 보호될 수 있다.
동작 207에서, 예를 들어, 도 3d에 도시된 바와 같이, 피처 (304) 의 측벽들의 부분을 측방향으로 에칭하도록 부가적인 플라즈마 에칭이 수행된다. 동작 207에서 에칭되는 측벽들의 부분은 보호 막 (310) 바로 아래 측벽들의 부분이다. 도 1h는 동작 207 동안 에칭 화학물질의 유효성을 예시한다. 보호 막 (310) 이 피처 (304) 의 상단부 근방의 추가 반응으로부터 측벽들을 보호하기 때문에, 에칭 화학물질은 보호 막의 깊이에 도달할 때까지 실질적으로 공핍이 시작되지 않는다. 이 깊이 이하에서, 에칭 화학물질이 원치 않는 재료 (309) 를 제거하도록 반응하고, 공핍하기 시작한다.
다음에, 동작 209에서 에칭이 완료되었는지 여부가 결정된다. 일부 경우들에서, 동작 207은 에칭이 완료되는 경우인, 원치 않는 재료 (309) 를 피처 (304) 의 하단부까지 완전히 제거할 수도 있고, 방법은 보호 막 (310) 이 피처 (304) 의 측벽들로부터 스트립핑되는 동작 211로 이동한다. 스트립핑 동작은 이하에 더 논의된다.
다른 경우들에서, 예를 들어, 도 3d에 도시된 바와 같이, 동작 207은 측벽들로부터 (예를 들어, 원치 않는 재료 (309) 가 이전에 제거된 상단 부분 아래, 그리고 원치 않는 재료 (309) 가 남아 있는 하단 부분 위) 남아 있는 원치 않는 재료 (309) 의 부분만을 제거한다. 이들 경우들에서, 방법은 도 3e에 도시된 바와 같이, 부가적인 보호 막 (310) 이 측벽들의 부분 위에 증착되는, 동작 209로부터 동작 205로 계속된다. 보호 막 (310) 은 이전에 증착된 보호 막 (310) 바로 위에 형성될 수도 있다. 그러나, 일부 경우들에서, 도 3d의 제 1 보호 막 (310) 이 도 3e의 부가적인 보호 막 (310) 의 증착 전에 스트립핑될 수도 있다. 임의의 경우에서, 동작 205의 제 2 반복에서 증착된 보호 막 (310) 은 동작 205의 제 1 반복에서 증착된 보호 막 (310) 과 비교하여 피처 (304) 내로 보다 깊이 연장한다. 일반적으로 말하면, 보호 막 (310) 은 도 2a의 방법을 실시할 때 반복 각각으로 피처 (304) 내로 연속적으로 보다 깊이 증착될 수도 있다. 그러나, 일부 경우들에서, (예를 들어, 측벽들의 특정한 부분을 보다 완전히 에칭하기 위해) 이전에 증착된 보호 막 (310) 과 비교하여 피처 (304) 내로 덜 깊이 연장하는 보호 막 (310) 을 증착하는 것이 유리할 수도 있다.
다음에, 동작 207에서, 부가적인 플라즈마 에칭이 도 3f에 도시된 바와 같이, 측벽들의 부분으로부터 원치 않는 재료 (309) 를 제거하도록 수행된다. 상기 언급된 바와 같이, 에칭되는 측벽들의 부분은 보호 막 (310) 바로 아래 부분이다. 도 1h를 참조하면, 보호 막은 이제 동작 207의 이전 반복과 비교하여 피처 (304) 내로 보다 깊이 연장한다. 이와 같이, 에칭 화학물질은 측벽들의 관련 부분을 에칭하기 위해 피처 내로 보다 깊이 침투할 수 있다. 도 3a 내지 도 3g의 예에서, 원치 않는 재료 (309) 는 동작 207의 제 2 반복 후에 완전히 제거된다. 이와 같이, 동작 209에서, 에칭이 이제 완료되었는지 여부가 결정되고, 방법은 도 3g에 도시된 바와 같이, 보호 막이 피처의 측벽들로부터 스트립핑되는 동작 211로 계속된다.
또 다른 방법이 도 4a 내지 도 4h를 참조하여, 도 2b에 기술된다. 도 2b의 방법은 내부에 패터닝된 고 종횡비 피처를 갖는 기판이 프로세싱 장치에 제공되는 동작 221로 시작된다. 상기 언급된 바와 같이, 많은 경우들에서, 기판은 내부에 형성된 복수의 피처들을 가질 것이다. 도 4a를 참조하면, 피처 (404) 는 교번하는 제 1 재료 (402) 층 및 제 2 재료 (403) 층에 형성될 수도 있다. 각각의 제 1 재료 및 제 2 재료 (402 및 403) 는 각각 도 1a 내지 도 1f에서 제 1 재료 및 제 2 재료 (102 및 103) 와 관련하여 기술된 재료들일 수도 있다. 선택가능하게, 도시된 바와 같이, 라이너 (406) 가 제공될 수도 있다. 재료 (408) 및 원치 않는 재료 (409) 는 동일한 타입의 재료이고, 도 1e 및 도 1f의 재료 (107) 와 관련하여 기술된 재료일 수도 있다. 상이한 참조 번호들 308 및 309는 재료의 위치에 관련된다. 구체적으로, 재료 (408) 는 제 2 재료 (403) 가 (예를 들어, 도 1c, 도 1e, 및 도 1f와 관련하여 기술된 바와 같이) 에칭백될 때 형성되는 리세스들 내에 제 1 재료 (402) 의 층들 사이에 위치되고, 원치 않는 재료 (409) 는 이들 리세스들의 외부, 피처 (404) 의 측벽들을 따라 위치된다. 상기 주지된 바와 같이, 다수의 실시예들의 일 목적은 재료 (408) 를 실질적으로 보존하는 동안 원치 않는 재료 (409) 를 제거하는 것이다.
방법은 도 4b에 도시된 바와 같이, 보호 막 (410) 이 피처 (404) 의 측벽들의 부분 위에 증착되는 동작 223에서 계속된다. 보호 막 (410) 은 상대적으로 깊은 깊이로 증착된다. 증착은 이하에 더 논의된다. 다음에, 동작 225에서, 도 4c에 도시된 바와 같이, 피처 (404) 의 측벽들의 부분이 원치 않는 재료 (409) 를 제거하도록 측방향으로 에칭된다. 측방향으로 에칭되는 측벽들의 부분은 보호 막 (410) 아래의 하단 부분이다. 보호 막 (410) 이 피처 (404) 내로 깊이 연장하기 때문에, 에칭 화학물질은 피처 하단부 근방에서 측벽들을 에칭하도록 피처 내로 깊이 침투할 수 있다 (예를 들어, 에칭 화학물질이 피처의 상단부 근방에서 원치 않는 재료 (409) 와의 반응들을 통해 공핍되지 않기 때문에). 다음에, 동작 227에서, 도 4d에 도시된 바와 같이, 보호 막 (410) 이 측벽들로부터 스트립핑된다. 스트립핑 동작은 이하에 더 논의된다. 일부 경우들에서, 이 스트립핑 동작 227은, 예를 들어, 별도의 단계에서 스트립핑할 필요가 없도록, 에칭 조건들이 동작 225 동안 보호 막의 상당한 부분을 제거하는 경우들에서 생략될 수도 있다.
다음에, 에칭이 거의 완료되었는지 여부가 결정된다. 에칭은 남아 있는 원치 않는 재료 (409) 가 단일 에칭 동작에서 제거될 수 있을 때 거의 완료된다. 에칭이 거의 완료된 경우들에서, 방법은 피처 (404) 의 측벽들의 상단 부분으로부터 남아 있는 원치 않는 재료 (409) 를 측방향으로 에칭하도록 플라즈마 에칭이 사용되는, 동작 331로 계속된다. 이 동작은 도 4g 및 도 4h를 참조하여 이하에 논의될 것이다.
동작 229에서 에칭이 거의 완료되지 않았다고 결정되는 경우들에서, 방법은 도 4e에 도시된 바와 같이, 새로운 보호 막 (410) 이 피처 (404) 의 측벽들의 부분 위에 증착되는 동작 223으로 계속된다. 동작 223의 제 2 반복에서 증착된 새로운 보호 막 (410) 이 동작 223의 제 1 반복에서 증착된 제 1 보호 막 (410) 과 비교하여 피처 (404) 내로 덜 깊게 연장할 수도 있다. 일반적으로, 보호 막 (410) 은 부가적인 반복들이 수행됨에 따라 점점 더 작은 깊이로 형성될 수도 있다. 그러나, 일부 경우들에서, 예를 들어 측벽들의 특정한 부분으로부터 원치 않는 재료 (409) 를 보다 광범위하게 제거하기 위해, 이전 보호 막 (410) 보다 깊은 보호 막 (410) 을 형성하는 것이 유리할 수도 있다. 방법은 플라즈마 에칭이 피처의 측벽들의 부분을 측방향으로 에칭하도록 사용되는 동작 225의 제 2 반복으로 계속된다. 에칭되는 부분은 동작 223에서 증착된 보호 막 (410) 바로 아래 부분이다. 보호 막 (410) 은 동작 225 동안, 에칭 화학물질이 보호 막 (410) 보다 낮게 위치된 남아 있는 원치 않는 재료 (409) 를 제거하기 위해 피처 내로 침투할 수 있도록 동작 223에서 충분히 깊이 증착되어야 한다. 보호 막 (410) 이 충분히 깊게 증착되지 않으면, 에칭 화학물질은 피처 내로 깊이 침투하고 남아 있는 보호되지 않은 원치 않는 재료 (409) 를 모두 제거할 수 있기 전에 과도하게 공핍될 수도 있다.
도 4e에 도시된 바와 같이 보호 막 (410) 이 증착된 후, 동작 225에서 도 4f에 도시된 바와 같이, 원치 않는 재료 (409) 의 부분을 제거하기 위해 측벽들을 측방향으로 에칭하도록 플라즈마 에칭이 수행된다. 제거되는 원치 않는 재료 (409) 의 부분은 보호 막 (410) 바로 아래 부분이다. 다음에, 동작 227에서, 도 4g에 도시된 바와 같이, 보호 막 (410) 이 피처 (404) 의 측벽들로부터 스트립핑된다. 방법은 에칭이 거의 완료되었는지 여부가 다시 결정되는 동작 229으로 계속된다. 도 4g의 맥락에서, 남아 있는 원치 않는 재료 (404) 는 단일 에칭 동작에서 제거될 수 있기 때문에 에칭이 거의 완료된 것으로 결정된다. 이와 같이, 방법은 도 4h에 도시된 바와 같이, 남아 있는 원치 않는 재료 (409) 를 제거하기 위해 측벽들의 상단 부분이 측방향으로 에칭되는 동작 331로 계속된다. 이 에칭은 피처 (404) 의 상단부 근방의 원치 않는 재료 (409) 가 제거될 수 있도록 측벽들 상에 어떠한 보호 막 (410) 도 없이 이루어진다.
도 2a 및 도 2b에 기술되고 도 3a 내지 도 3g 및 도 4a 내지 도 4h에 도시된 프로세스 스킴을 사용하면, (종래의 습식 에칭 스킴 및 건식 에칭 스킴과 비교하여) 에칭 동작 각각에 대해, 측벽의 부분 각각이 (1) 특정한 양을 에칭하기 위해 타깃팅되거나, (2) 보호 막의 존재로 인해 에칭으로부터 보호되거나, (3) 피처의 관련 깊이에서 에천트 화학물질 침투가 없어서 에칭으로부터 보호되기 때문에, 측벽들의 임의의 부분이 오버에칭되는 위험이 실질적으로 감소된다. 더욱이, 에칭은 제어가능하도록 충분히 느리고, 허용가능한 쓰루풋을 제공하도록 충분히 빠른 레이트로 이루어질 수 있다. 이와 같이, 이들 방법들은 상기 기술된 종래의 기법들에 비해 상당한 장점들을 제공한다.
본 명세서에 기술된 기법들은 또한 이들이 달성되는 측벽 프로파일에 대한 밀접한 제어를 인에이블하여 유리하다. 에칭 동작 각각이 측벽들의 특정한 부분을 타깃팅하기 때문에, 측벽의 부분 각각에서 에칭 정도가 제어될 수 있다. 에칭 정도는 측벽의 부분 각각을 타깃팅하는 에칭 동작의 지속기간에 기초하여 제어될 수 있다. 예를 들어, 도 7a 내지 도 7k는 에칭 동작 각각이 특정한 에칭 정도를 달성하도록 제어되는, 몇몇 프로세싱 단계들 동안 부분적으로 에칭된 피처를 도시한다. 도 7a 내지 도 7k는 도 4a 내지 도 4h에 도시된 것과 유사한 프로세싱 시퀀스를 도시하고, 도 2b의 방법을 사용하여 달성될 수도 있다. 간결성을 위해, 차이들만이 기술될 것이다.
이 예에서, 피처 (704) 가 교번하는 제 1 재료 (702) 층 및 제 2 재료 (703) 층에 이전에 형성되었다. 도시된 바와 같이, 선택가능한 라이너 (706) 가 존재할 수도 있다. 원치 않는 재료 (709) 는 피처 (704) 의 측벽들을 라이닝한다. 보호 막 (710) 이 도면들에 도시된 바와 같이, 반복적으로 형성되고 제거된다. (예를 들어, 도 7b로부터 도 7c로 진행하는) 에칭 동작의 제 1 반복에서, 상대적으로 긴 에칭 지속기간이 사용된다. 결과들은 피처 (704) 의 하단부 근방의 원치 않는 재료 (709)/재료 (708) 가 제 1 재료 (702) 의 층들 사이에서 상당한 정도로 에칭백되는 것이다. (예를 들어, 도 7e로부터 도 7f로 진행하는) 에칭 동작의 제 2 반복에서, 에칭 지속기간은 (측벽의 관련 부분에서) 제 1 반복과 비교하여 보다 낮은 정도의 에칭을 달성하도록 제어된다. (예를 들어, 도 7h로부터 도 7j로 진행하는) 에칭 동작의 제 3 반복에서, 에칭 지속기간은 (측벽의 관련 부분에서) 제 2 반복과 비교하여 보다 낮은 정도의 에칭을 달성하도록 제어된다. (예를 들어, 도 7k로부터 도 7l로 진행하는) 에칭 동작의 제 4 반복에서, 에칭 지속기간은 제 3 반복과 비교하여 보다 낮은 정도의 에칭을 달성하도록 제어된다. 달리 말하면, 에칭 지속기간은 남아 있는 재료 (708) 의 양이 피처 (704) 의 하단부 근방에서 상대적으로 낮고 피처 (704) 의 상단부 근방에서 상대적으로 높도록 에칭 동작 각각 동안 제어된다. 또 다른 실시예에서, 도 2a의 방법은 도 7l에 도시된 동일한 결과적인 구조체를 생성하도록 사용될 수도 있다. 도 7a 내지 도 7l은 에칭 동작 각각 동안 에칭을 위해 타깃팅되는 단일 셀만 (셀 각각은 제 1 재료 (702) 의 2 개의 층들 사이에 규정됨) 을 도시하지만, 이는 간결성을 위해 이루어졌다. 일부 실시예들에서, 에칭 동작 각각은 보호 막 (710) 의 증착 동안 그리고 에칭 반복 각각 동안 모두 예를 들어, 사용된 화학물질 및 프로세싱 조건들에 따라, 측벽을 따라 다수의 셀들을 타깃팅할 수도 있다.
도 7l 내지 도 7n은 에칭 동작 각각의 지속기간을 제어함으로써 달성될 수 있는 대안적인 구조체들을 도시한다. 도 7l에서, 에칭 후에 셀들 내에 남아 있는 재료 (708) 는 피처 (704) 의 하단부 근방에서 보다 많고 피처 (704) 의 상단부 근방에서 보다 적은 양이다. 도 7m에서, 상당한 양의 재료 (708) 가 에칭 후에 피처의 상단부 및 측벽들의 하단부 모두에 남아 있지만, 보다 적은 양의 재료 (708) 가 측벽들의 중간 근방의 영역에 남는다. 도 7n에서, 에칭 후에 남아 있는 재료 (708) 는 보다 적은 양의 재료 (708) 가 남는 것과 보다 많은 양의 재료 (708) 가 남아 있는 것 사이에서 교번한다. 다양한 다른 구조체들이 단순히 에칭 동작 각각의 지속기간을 제어함으로써, 목표된 바와 같이 달성될 수 있다. 이 기법은 에칭 동작 각각이 측벽들을 따라 특정한 수직 영역을 타깃팅하기 때문에 성공적일 수 있다.
본 명세서에 기술된 다수의 실시예들에서, 기판 상의 피처의 측벽으로부터 원치 않는 재료를 측방향으로 에칭하는 방법은 에칭 동작 및 증착 동작을 순환적으로 수행하는 단계를 수반한다. 에칭 동작은 피처의 측벽의 부분으로부터 원치 않는 재료를 측방향으로 에칭하고, 증착 동작은 피처의 측벽의 제 2 부분 위에 보호 막을 형성한다. 에칭 동작 및 증착 동작이 서로 순환되기 때문에, 에칭 동작의 상이한 반복들이 피처의 측벽의 상이한 부분들로부터 원치 않는 재료를 측방향으로 에칭하고, 증착 동작의 상이한 반복들이 피처의 측벽의 상이한 제 2 부분들 위에 보호 막을 증착한다. 일부 경우들에서, 에칭 동작의 상이한 반복들에서 에칭되는 측벽의 상이한 부분들이 서로 중첩될 수도 있다. 유사하게, 상부에 보호 막이 증착 동작의 상이한 반복들에서 증착되는 측벽의 상이한 제 2 부분들은 서로 중첩할 수도 있다. 달리 말하면, 에칭 동작 및 증착 동작의 상이한 반복들이 측벽의 "상이한" 부분들을 타깃팅하지만, 이들 부분들은 중첩할 수도 있다. 예로서, 약 70 내지 90 ㎄ 깊이인 측벽의 부분에서 측벽을 에칭하는 제 1 에칭 동작 및 약 60 내지 80 ㎄ 깊이인 측벽의 부분에서 측벽을 에칭하는 제 2 에칭 동작은 두 에칭 동작들이 70 내지 80 ㎄ 깊이의 재료를 타깃팅하더라도, 측벽의 상이한 부분들에 걸쳐 에칭하는 것으로 간주된다. 유사하게, 측벽의 상단 40 % 위에 증착된 제 1 보호 막 및 측벽의 상단 60 % 위에 증착된 제 2 보호 막은 측벽의 상이한 제 2 부분들 위에 증착되는 것으로 간주된다.
에칭 동작
도 2a 및 도 2b와 관련하여 기술된 바와 같이, 측방향 에칭 동작은 적어도 2 회 수행되고, 이하에 더 기술된, 증착 동작 및/또는 스트립핑 동작으로 임의의 회수로 순환될 수도 있다. 측방향 에칭 동작 각각은 고 종횡비 피처의 측벽들의 특정한 부분을 타깃팅한다. 이러한 방식으로, 원치 않는 재료가 단계적인 방식으로 제거된다. 일부 경우들에서, 재료는 도 2a와 관련하여 기술된 바와 같이, 측벽의 상단부들로부터 측벽의 하단부들로 제거된다. 다른 경우들에서, 재료는 도 2b에 기술된 바와 같이, 측벽의 하단부들로부터 측벽의 상단부들로 제거된다. 이들의 일부 조합이 또한 사용될 수도 있다.
다양한 실시예들에서, 에칭 동작은 에칭 반응물질을 전달하는 단계, 에칭 반응물질로부터 플라즈마를 생성하는 단계, 및 리세스된 고 종횡비 피처의 측벽들을 측방향으로 에칭하도록 기판을 플라즈마에 노출하는 단계 (예를 들어, 인시츄 플라즈마의 경우에 직접적으로, 또는 리모트/업스트림 플라즈마의 경우에 간접적으로) 를 수반한다. 에칭은 통상적으로 등방성 방식으로 발생한다. 다수의 실시예들에서, 플라즈마는 ICP이다. ICP는 때때로 플라즈마 생성 영역으로 지칭되는 상부 챔버 영역에서 기판으로부터 업스트림에 생성될 수도 있다. 가스 분배 디바이스, 그리드, 또는 다른 구조체가 상부 챔버 영역과 기판이 기판 지지부 상에 위치되는 하부 챔버 영역 사이에 위치될 수도 있다. 가스 분배 디바이스 또는 다른 구조체가 기판과 상호작용시키기 위해 하부 챔버 영역 내로 필터링하는 종의 조성/혼합을 제어하도록 작용할 수도 있다. 선택가능하게, 가스 분배 디바이스는 또한 하부 챔버 영역 및/또는 상부 챔버 영역으로 가스를 전달하도록 사용될 수도 있다. 일부 경우들에서, CCP가 또한 제공될 수도 있다. 일부 경우들에서, CCP는 기판 지지부와 상부 챔버 영역으로부터 기판을 분리하는 가스 분배 디바이스 (또는 다른 구조체) 사이에 생성될 수도 있다. CCP는 기판 지지부 상에 바이어스 (예를 들어, AC 바이어스) 를 인가함으로써 생성될 수도 있지만, 가스 분배 디바이스 (또는 다른 구조체) 는 접지된다. 예시적인 장치가 도 5에 도시되고, 이하에 더 논의된다.
도 6a는 선택적인 방식으로 리세스된 고 종횡비 피처의 측벽들을 측방향으로 에칭하는 방법 (600) 을 기술하는 플로우차트이다. 610에서, 기판이 기판 프로세싱 시스템의 하부 챔버 영역에 배치된다. 614에서, 에칭 가스 혼합물이 상부 챔버 영역으로 공급된다. 618에서, 냉각 유체가 상부 챔버 영역과 하부 챔버 영역 사이의 가스 분배 디바이스로 선택가능하게 공급된다. 622에서, 퍼지 가스를 하부 챔버 영역으로 전달할 수도 있는, 가스 분배 디바이스로 퍼지 가스가 선택가능하게 공급된다. 628에서, 기판의 측벽들로부터 원치 않는 재료를 에칭하도록 미리 결정된 에칭 기간 동안 상부 챔버 영역에서 플라즈마가 스트라이킹된다. 원치 않는 재료는 에칭 동안 노출되거나 노출되게 되는 부가적인 재료에 대해 선택적으로 에칭된다. 도 1e 및 도 1f를 참조하면, (존재한다면) 라이너 (106) 및/또는 (노출된다면) 제 1 재료 (102) 를 실질적으로 보유하는 동안, 제거를 위해 재료 (107) 가 타깃팅되도록 에칭은 선택적이다. 332에서, 에칭 가스 혼합물은 선택가능한 포스트 에칭 (post etch) 기간 동안 포스트 에칭 가스 혼합물로 전이될 수도 있다. 포스트 에칭 기간은 피처 내 표면들을 탈불화시키도록 (de-fluorinate) 제공될 수도 있고, 최종 에칭 반복 동안 특히 유용할 수도 있다. 다수의 에칭 동작들에서, 동작들 618, 622, 및 632 중 하나 이상이 생략될 수도 있다. 334에서, 플라즈마가 소화된다. 이 프로세스는 리세스된 고 종횡비 피처의 측벽들의 특정한 부분으로부터 원치 않는 재료를 에칭한다. 에칭 반복 각각에서 타깃팅되는 측벽들의 부분은 보호 막 (에칭 동안 보호 막이 존재하는 반복들에서), 또는 피처의 상단부 (에칭 동안 보호 막이 존재하지 않는 반복들에서) 바로 아래 부분 (예를 들어, 피처 내에서 보다 깊은) 부분이다.
다수의 상이한 인자들이 에칭 결과들에 영향을 준다. 이러한 인자들은 반응 챔버 내 압력, 반응 챔버 내로 종의 총 플로우 및 (예를 들어, 이러한 종의 불소 함량을 포함하여) 이러한 종의 조성, ICP를 생성하도록 사용된 RF 전력 레벨, 및 (존재한다면) CCP를 생성하도록 사용된 RF 전력 레벨을 포함한다. 특정한 실시예들에서, 에칭 동안 압력은 약 0.1 내지 10 Torr일 수도 있다. 기판이 약 300 ㎜의 직경을 갖고, 에천트가 할로겐-함유 종인 일부 예들에서, 에천트의 플로우 레이트는 약 1 내지 1000 sccm일 수도 있다. 반응 챔버 내로 (예를 들어, 에천트들, 불활성 종, 및 임의의 다른 종을 포함하는) 종의 총 플로우 레이트는 약 50 내지 5000 sccm일 수도 있다. 이들 플로우들은 단순히 예들로서 제공되고, 상이한 사이즈들의 기판들 및 장치들, 및 상이한 불소 함량을 갖는 에천트들에 대해 조정될 수도 있다. 일부 구현예들에서, ICP를 생성하기 위해 사용된 RF 전력은 약 13.56 ㎒의 주파수에서 약 200 내지 3000 W일 수도 있다. CCP가 ICP에 부가하여 사용되는 경우들에서, CCP를 생성하기 위해 기판 지지부에 인가된 바이어스는 약 13.56 ㎒의 주파수에서 약 50 내지 1000 W일 수도 있다. 이들 전력 레벨들은 에칭 동안 단일 300 ㎜ 직경 기판이 챔버 내에 존재하는 것을 가정하고, 기판 표면적에 기초하여 스케일링될 수 있다. 다른 전력 레벨들 및 주파수들이 또한 사용될 수 있다. 기판 및/또는 기판 지지부가 약 -10 내지 120 ℃, 또는 일부 경우들에서 약 60 ℃ 이하의 온도로 유지될 수도 있다. 일부 경우들에서, 에칭 반복 각각의 지속기간은 약 10 내지 100 초일 수도 있다.
에칭 가스 혼합물에 제공될 수도 있는 예시적인 반응물질들은 이로 제한되는 것은 아니지만, 불소 기반 에천트들 (예를 들어, 사불화탄소 (CF4), 삼불화질소 (NF3), 육불화황 (SF6), 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 사불화실리콘 (SiF4), 헥사플루오로부타디엔 (C4F6), 헥사플루오로에탄 (C2F6), 등), 산소 함유 종 (예를 들어, 산소 (O2), 아산화질소 (N2O), 황화카보닐 (COS), 일산화탄소 (CO), 등), 염소 함유 종 (예를 들어, 염소 (Cl2), 삼염화붕소 (BCl3), 염화수소 (HCl), 등), 황 함유 종 (예를 들어, 황화 수소 (H2S), 황화카보닐 (COS), 육불화황 (SF6), 등), 하이드로카본 (예를 들어, 메탄 (CH4), 등), 다른 수소 기반 종 (예를 들어, 분자 수소 (H2)), 불활성 종 (예를 들어, N2, Ar, He, 등), 및 이들의 조합들을 포함한다. 특정한 예들이 이하에 포함된다. 많은 경우들에서, 에칭 화학물질은 측벽들로부터 원치 않는 재료를 제거하도록 작용하는 불소 라디칼들 (F*) 을 생성한다. 일부 경우들에서, 부가적인 라디칼들 (예를 들어, H* 및 N*) 이 또한 생성될 수도 있다.
일 예에서 측벽들로부터 제거되는 원치 않는 재료는 텅스텐과 같은 금속이다. 이 예에서, 에칭 가스 혼합물은 불소 기반 반응물질을 포함할 수도 있다. 불소 기반 반응물질은 사불화탄소 (CF4), 삼불화질소 (NF3), 육불화황 (SF6), 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 플루오로포름 (CHF3), 헥사플루오로부타디엔 (C4F6), 등을 포함할 수도 있다. 에칭 가스 혼합물은 분자 산소 (O2), 분자 질소 (N2), 분자 염소 (Cl2), 등을 더 포함할 수도 있다. 일 실시예에서, 에칭 가스 혼합물은 분자 수소 (H2) 및 삼불화질소 (NF3), 사불화탄소 (CF4), 및 육불화황 (SF6) 으로 구성된 그룹으로부터 선택된 하나 이상의 가스를 포함한다. 또 다른 실시예에서, 에칭 가스 혼합물은 일산화탄소 (CO) 및 분자 질소 (N2) 를 포함할 수도 있다. 또 다른 실시예에서, 가스 혼합물은 육불화황 (SF6), 삼불화질소 (NF3), 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2) 및 테트라플루오로메탄 (CF4) 으로 구성된 그룹으로부터 선택된 하나 이상의 가스에 부가하여, 분자 질소 (N2) 및/또는 분자 산소 (O2) 를 포함할 수도 있다. 또 다른 실시예에서, 가스 혼합물은 분자 산소 (O2) 및 분자 염소 (Cl2) 를 포함할 수도 있다. 또 다른 실시예에서, 가스 혼합물은 분자 염소 (Cl2) 및 육불화황 (SF6), 삼불화질소 (NF3), 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2) 및 사불화탄소 (CF4) 로 구성된 그룹으로부터 선택된 하나 이상의 반응물질들을 포함할 수도 있다. 기판 및/또는 기판 지지부는 약 40 내지 120 ℃의 온도로 유지될 수도 있다. 하부 챔버 영역 내 압력은 약 0.05 내지 10 Torr, 예를 들어, 약 0.1 내지 5 Torr일 수도 있다. 금속은 일부 경우들에서 분 당 적어도 약 50 Å의 에칭 레이트로 제거될 수도 있다. 일부 구현예들에서, 또 다른 재료 (예를 들어, 질화물, 산화물, 다른 금속 재료) 에 대한 금속의 선택도는 금속 층의 제거 동안 약 10:1보다 크고, 금속이 질화물 또는 산화물이 제거될 때보다 10 배만큼 빠른 레이트로 제거된다는 것을 의미한다. 일부 경우들에서, 또 다른 재료에 대한 금속의 선택도는 200:1보다 크다.
제 2 예에서 원치 않는 재료는 실리콘 (예를 들어, 일부 경우들에서 폴리실리콘) 이고, 에칭 가스 혼합물은 불소 기반 종 및 수소 기반 종을 포함할 수도 있다. 수소 기반 종의 농도는 불소 기반 종의 농도보다 높을 수도 있다. 예를 들어, 에칭 가스 혼합물은 체적으로 약 0.7 내지 10 %의 불소 기반 종 및 체적으로 약 50 %보다 큰 수소 기반 종을 포함할 수도 있다. 수소 기반 종은 일부 경우들에서 수소 (H2) 및/또는 암모니아 (NH3) 를 포함할 수도 있다. 불소 기반 종은 삼불화질소 (NF3), 플루오로포름 (CHF3), 헥사플루오로부타디엔 (C4F6), 및/또는 사불화탄소 (CF4) 를 포함할 수도 있다. 기판 및/또는 기판 지지부는 약 60 ℃ 이상, 또는 일부 경우들에서 약 40 내지 120 ℃의 온도로 유지될 수도 있다. 하부 챔버 영역 내 압력은 약 5 Torr 이하 (일부 경우들에서 약 0.1 내지 5 Torr) 일 수도 있다. 일부 경우들에서 실리콘은 분 당 약 200 Å의 에칭 레이트로 제거될 수도 있다. 일부 구현예들에서, 에천트는 불소 기반 종과 상이한 개질 가스 종을 더 포함하고, 개질 가스 종은 삼불화질소 (NF3), 사불화탄소 (CF4), 플루오로메탄 (CH3F), 및 육불화황 (SF6) 중 적어도 하나를 포함한다. 일부 구현예들에서, 웨이퍼는 정전 척 상에 지지되고 그리고 천연 산화물 층을 더 포함하고, 에칭 방법은 하부 챔버 영역에서 적어도 불소 기반 에천트의 용량 결합 플라즈마를 생성하도록 기판 지지부 (또한 정전 척으로 지칭됨) 에 바이어스를 인가하는 단계, 및 천연 산화물 층을 제거하기 위해 용량 결합 플라즈마에 기판을 노출하는 단계를 더 포함하고, 천연 산화물 층의 제거는 폴리실리콘 층의 제거와 인시츄로 수행된다. 일부 구현예들에서, 또 다른 재료 (예를 들어, 질화물 또는 산화물 재료) 에 대한 실리콘의 선택도는 폴리실리콘 층의 제거 동안 약 500:1보다 크고, 실리콘이 질화물 또는 산화물이 제거될 때보다 500 배만큼 빠른 레이트로 제거된다는 것을 의미한다.
제 3 예에서, 원치 않는 재료는 질화 실리콘이다. 실리콘을 에칭하는 것에 대해 상기 제공된 상세들은 질화 실리콘을 에칭하기 위해 유사하게 적용될 수도 있다. 그러나, 일부 경우들에서, 질화 실리콘 에칭은 약 -10 내지 60 ℃인 기판 온도에서 발생할 수도 있다. 질화 실리콘을 에칭하기 위해 사용될 수도 있는 예시적인 프로세싱 가스들은, 예를 들어, 삼불화질소 (NF3), 사불화탄소 (CF4), 육불화실리콘 (SF6), 헬륨 (He), 아르곤 (Ar), 분자 산소 (O2), 아산화질소 (N2O), 분자 질소 (N2), 및 이들의 조합들을 포함한다.
퍼지 가스에 제공될 수도 있는 예시적인 가스들은 이로 제한되는 것은 아니지만, He, Ar, 및 N2을 포함한다. 포스트 에칭 가스에 제공될 수도 있는 예시적인 가스들은 이로 제한되는 것은 아니지만, 수소-함유 종 (예를 들어, H2, NH3, CH4, C2H4, C3H6, C2H6, 등), 산소 함유 종 (예를 들어, O2, CO2, N2O, H2O, O3, 등), 및 이들의 조합들을 포함한다.
에칭 동작에 영향을 주는 상기 기술된 다양한 인자들은 단일 기판 상에서 상이한 에칭 반복들에 대해 균일할 수도 있다. 다른 경우들에서, 이들 인자들 중 하나 이상은 상이한 에칭 반복들 사이에서 변화할 수도 있다. 예를 들어, 에칭 반복 각각의 지속기간은 측벽들의 전체 길이를 따라 원치 않는 재료의 균일한 제거를 달성하기 위해 선택될 수 있다. 일부 경우들에서, 에칭 반복들의 지속기간은 부가적인 반복들과 함께 증가할 수도 있다. 일부 다른 경우들에서, 에칭 반복들의 지속기간은 부가적인 반복들과 함께 감소할 수도 있다. 다른 경우들에서, 에칭 반복들의 지속기간은 균일할 수도 있다. 일부 실시예들에서, 피처의 상단부에 상대적으로 보다 가까운 원치 않는 재료를 타깃팅하는 에칭 반복은 피처의 하단부에 상대적으로 보다 가까운 원치 않는 재료를 타깃팅하는 상이한 에칭 반복보다 짧은 지속기간 동안 수행될 수도 있다. 일부 다른 실시예들에서, 피처의 상단부에 상대적으로 보다 가까운 원치 않는 재료를 타깃팅하는 에칭 반복은 피처의 하단부에 상대적으로 보다 가까운 원치 않는 재료를 타깃팅하는 상이한 에칭 반복보다 긴 지속기간 동안 수행될 수도 있다. 이들 또는 다른 경우들에서, 기판 및/또는 기판 지지부의 온도는 부가적인 에칭 반복들과 함께 상승하거나 감소할 수도 있다. 이들 또는 다른 경우들에서, 반응 챔버 내 (예를 들어, 사용된다면, 상부 챔버 영역 또는 하부 챔버 영역 내) 압력은 부가적인 반복들과 함께 상승하거나 감소될 수도 있다. 이들 또는 다른 경우들에서, 에칭 반응물질들의 플로우 레이트는 부가적인 반복들과 함께 상승하거나 감소될 수도 있다. 이들 또는 다른 경우들에서, ICP를 생성하기 위해 사용된 RF 전력은 부가적인 반복들과 함께 상승하거나 감소될 수도 있다. 이들 또는 다른 경우들에서, (존재한다면) CCP를 생성하기 위해 사용된 RF 전력은 부가적인 반복들과 함께 상승하거나 감소될 수도 있다. 일 예에서, 일 에칭 반복은 ICP만을 사용하여 이루어질 수도 있고, 앞선 에칭 반복 또는 나중의 에칭 반복은 ICP 및 CCP 모두를 사용하여 이루어질 수도 있다. 이들 프로세싱 변수들은 기판의 측벽들 상의 적절한 영역에서 에칭을 타깃팅하도록 변화될 수도 있다. 그러나, 보호 막의 존재/위치는 또한 에칭이 타깃팅되는 곳을 결정하는데 큰 역할을 한다는 것이 이해된다.
선택적인 에칭을 위한 장치 및 방법들은, 각각 전체가 참조로서 본 명세서에 인용되는, 이하의 미국 특허 출원들에서 더 논의된다: 2015년 11월 11일 출원된, 명칭이 "Ultrahigh Selective Polysilicon Etch with High Throughput"인 미국 특허 출원번호 제14/938,635 호; 2015년 9월 21일 출원된, 명칭이 "Systems and Methods for Ultrahigh Selective Nitride Etch"인 미국 특허 출원번호 제 15/271,381 호; 2016년 2월 3일 출원된, 명칭이 "Systems and Methods for Selectively Etching Tungsten in Downstream Reactor"인 미국 특허 출원번호 제 15/014,539 호; 및 2017년 3월 14일 출원된, 명칭이 "Ultrahigh Selective Nitride Etch to Form FinFET Devices"인 미국 특허 출원번호 제 15/458,292 호.
증착 동작
도 2a 및 도 2b와 관련하여 기술된 바와 같이, 증착 동작은 적어도 1 회 수행되고, 증착 동작 및/또는 스트립핑 동작으로 임의의 회수 순환적으로 수행될 수도 있다. 증착 동작 각각은 리세스된 피처의 측벽들의 부분 위에 보호 막을 증착한다. 보호 막은 피처의 상단부에 측벽들을 형성하고, 피처 내로 측벽들을 아래로 어느 정도 거리 연장한다. 이 거리는 증착 동작의 부가적인 반복들과 함께 변화될 수도 있다. 달리 말하면, 보호 막 각각은 특정한 깊이에 도달하도록 형성될 수도 있고, 이 깊이는 전체 에칭 방법 동안 변화된다. 도 2a의 방법에서, 보호 막은 도 3a 내지 도 3g에 도시된 바와 같이, 앞선 반복들에서 상대적으로 얕게 증착될 수도 있고, 나중의 반복들에서 보다 깊게 증착될 수도 있다. 도 2b의 방법에서, 보호 막은 도 4a 내지 도 4h에 도시된 바와 같이, 앞선 반복들에서 상대적으로 깊게 증착될 수도 있고, 나중의 반복들에서 보다 얕게 증착될 수도 있다. 이들 일반적인 경향들로부터 일부 벗어남이 있을 수도 있다는 것이 이해된다. 보호 막은 통상적으로 피처의 측벽들을 컨포멀하게 코팅하지 않도록 형성된다. 대신, 보호 막은 컨포멀하지 않고, 피처의 상단부 근방에서 가장 큰 두께를 갖고, 피처 내로 보다 깊이 연장할 때 서서히 얇아진다.
다수의 실시예들에서, 증착 동작은 피처의 측벽들 상에 보호 막을 형성하도록 하나 이상의 증착 반응물질들을 전달하는 단계를 수반한다. 다양한 실시예들에서, 보호 막은 폴리머 막이다. 폴리머 막은 플루오로카본 기반 막 (예를 들어, 하이드로플루오로카본 막) 일 수도 있다. 플루오로카본 기반 보호 막들은 이러한 막들이 이 에칭 화학물질에 실질적으로 내성이 있기 때문에, 에칭 화학물질이 불소 기반인 경우들에서 특히 유리하다. 더욱이, 플루오로카본 기반 폴리머 막들은 이하에 기술된 스트립핑 방법을 사용하여 용이하게 제거될 수 있다. 일부 경우들에서, 플루오로카본 기반 보호 막은 CHxFy 화학물질을 사용하여 증착될 수도 있고, x>y이다. 특정한 예시적인 반응물질들은 CH4 및 CH3F을 포함한다.
다른 타입들의 보호 막들이 또한 사용될 수도 있다. 이러한 막들은 산화물, 질화 실리콘, 실리콘, 및 하이드로카본 기반 재료들을 포함할 수도 있다. 이러한 경우들에서, 보호 막을 증착하기 위해 사용된 반응물질들은 목표된 조성을 달성하도록 선택될 수도 있다.
도 6b는 리세스된 피처의 측벽들의 부분 상에 보호 막을 증착하는 방법 (650) 을 기술하는 플로우차트이다. 652에서, 기판이 반응 챔버 내에 배치된다. 반응 챔버는 측벽들을 측방향으로 에칭하도록 사용된 챔버와 동일할 수도 있고, 또는 상이한 반응 챔버, 예를 들어, 증착을 위해 특별히 구성된 반응 챔버일 수도 있다. 2 이상의 반응 챔버들 (예를 들어, 증착 챔버 및 에칭 챔버) 이 도 2a 또는 도 2b의 방법들을 수행하도록 사용되는 경우들에서, 방법들은 적절하게, 반응 챔버들 사이에서 기판을 이송하는 것을 더 포함할 수도 있다. 반응 챔버가 도 5와 관련하여 기술된 바와 같은 경우들에서, 기판은 하부 챔버 영역에 제공될 수도 있고, 기판 지지부 상에 배치될 수도 있다. 654에서, 증착 가스가 반응 챔버로 공급된다. 증착 가스는 적어도 하나의 증착 반응물질을 포함하고, 또한 불활성 가스를 포함할 수도 있다. 반응 챔버가 상부 챔버 영역 및 하부 챔버 영역을 포함하는 경우들에서, 도 5에 도시된 바와 같이, 증착 가스는 하부 챔버 영역, 상부 챔버 영역, 또는 둘 다에 바로 제공될 수도 있다. 656에서, 증착 기간 동안 반응 챔버에서 플라즈마가 스트라이킹된다. 이는 피처의 측벽들 상에 보호 막의 증착을 발생시킨다. 플라즈마는 CCP이다. 반응 챔버가 도 5와 관련하여 기술된 바와 같은 경우들에서, CCP는 기판이 놓이는 하부 챔버 영역에서 생성될 수도 있다. 따라서, 기판은 CCP에 바로 노출된다. 플라즈마는 가스 분배 디바이스 (또는 그리드 또는 다른 구조체) 가 접지된 채로 남아 있는 동안 기판 홀더로 바이어스를 인가함으로써 생성될 수도 있다. 바이어스는 바이어스 사이클의 음의 1/2 동안 기판을 향해 양으로 대전된 전하들을 끌어당기도록 (pull) 작용할 수도 있는, AC 바이어스일 수도 있다.
CCP에 더하여, 일부 경우들에서 증착 동안 ICP가 또한 제공될 수도 있다. 예를 들어, ICP는 기판으로부터 리모트이도록, 상부 챔버 영역에서 생성될 수도 있다. ICP는 불활성 가스 및/또는 증착 반응물질로부터 생성될 수도 있다. 다수의 경우들에서, 보호 막을 증착하기 위해, ICP가 사용되지 않는다.
보호 막의 조성에 따라, 다수의 상이한 증착 메커니즘들이 사용될 수도 있다. 다양한 경우들에서, 기상 증착이 사용된다. 일부 구현예들에서, 보호 막은 CVD (chemical vapor deposition) 반응, ALD (atomic layer deposition) 반응, 자기-어셈블 모노레이어 반응, 등을 통해 형성될 수도 있다.
다수의 인자들이 보호 막의 증착에 영향을 준다. 일부 경우들에서, 기판 및/또는 기판 지지부의 온도는 증착 동안 약 0 내지 110 ℃, 예를 들어, 약 40 내지 90 ℃로 유지될 수도 있다. 반응 챔버 (또는 기판이 놓이는 반응 챔버의 영역) 내 압력은 약 0.05 내지 1 Torr, 예를 들어, 약 0.1 내지 0.5 Torr일 수도 있다. CCP를 생성하도록 사용된 RF 전력은 약 13.56 ㎒의 주파수에서 약 50 내지 1000 W, 예를 들어, 약 100 내지 500 W일 수도 있다. 존재한다면, ICP를 생성하도록 사용된 RF 전력은 약 100 내지 2000 W, 예를 들어, 약 200 내지 1000 W일 수도 있다. 증착 반응물질에 대한 예시적인 플로우 레이트들은 약 50 내지 1000 sccm, 예를 들어, 약 100 내지 500 sccm일 수도 있다. 반응 챔버 내로 (예를 들어, 증착 반응물질들, 불활성 종, 및 임의의 다른 종을 포함하여) 종의 총 플로우 레이트는 약 50 내지 4000 sccm, 예를 들어, 약 100 내지 1000 sccm일 수도 있다. 이들 플로우들은 상이한 사이즈들의 기판들 및 장치들에 대해, 그리고 상이한 반응물질들에 대해 조정될 수도 있다.
이들 인자들은 보호 막의 증착 깊이를 제어하기 위해 상이한 보호 막들을 증착하는 동안 (예를 들어, 단일 기판 상의 상이한 증착 반복들에서) 제어되고 조정될 수도 있다. 일부 경우들에서, 제 1 보호 막이 제 1 기판 온도 또는 기판 지지부 온도에서 형성될 수도 있고, 그리고 제 2 보호 막이 보다 높거나 보다 낮은 기판 온도 또는 기판 지지부 온도에서 동일한 기판 상에 나중에 수행될 수도 있다. 이들 또는 다른 경우들에서, 제 1 보호 막은 제 1 압력에서 형성될 수도 있고, 그리고 제 2 보호 막은 보다 높거나 보다 낮은 압력에서 형성될 수도 있다. 이들 또는 다른 경우들에서, 제 1 보호 막은 증착 반응물질의 제 1 플로우 레이트로 형성될 수도 있고, 제 2 보호 막은 증착 반응물질의 보다 높거나 보다 낮은 플로우 레이트로 형성될 수도 있다. 이들 또는 다른 경우들에서, 제 1 보호 막은 제 1 RF 바이어스가 CCP를 생성하기 위해 기판 지지부에 인가되는 동안 형성될 수도 있고, 제 2 보호 막은 보다 높거나 보다 낮은 RF 바이어스 레벨에서 형성될 수도 있다. 이들 또는 다른 경우들에서, 제 1 보호 막은 제 1 RF 전력이 ICP를 생성하기 위해 코일들에 인가되는 동안 형성될 수도 있고, 제 2 보호 막은 코일들에 인가된 보다 높거나 보다 낮은 RF 전력 레벨에서 형성될 수도 있다. 이들 경향들은 (예를 들어, 보호 막 형성의 반복 각각에서 프로세싱 조건들의 점진적으로 보다 높거나 보다 낮은 값들을 사용하여) 부가적인 보호 막들로 확장될 수도 있다. 일반적으로 말하면, 피처 내에 상대적으로 보다 깊게 보호 막의 형성을 촉진하는 인자들은 (1) 보다 낮은 온도, (2) 보다 낮은 반응물질 플럭스, 및 (3) 기판 지지부로 인가된 보다 높은 레벨의 RF 바이어스를 포함한다. 다양한 증착들 동안 이들 인자들을 제어함으로써, 보호 막의 증착 (따라서, 원치 않는 재료의 제거) 이 도 3a 내지 도 3g 및 도 4a 내지 도 4h에 도시된 바와 같이, 목표된 바에 따라 측벽들 위 또는 아래로 진행할 수 있다.
스트립핑 동작
다수의 실시예들에서, 보호 막은 전체 에칭 프로세스 동안 일부 지점에서 측벽들로부터 스트립핑된다. 일부 경우들에서, 보호 막은 도 2b 및 도 4a 내지 도 4h와 관련하여 기술된 바와 같이, 순환적으로 제거 및 재증착될 수도 있다. 여전히 다른 경우들에서, 스트립핑 동작은 생략될 수도 있다. 일부 이러한 경우들에서, 마지막 에칭 반복이 별도의 스트립핑 동작을 수행할 이유가 없도록 보호 막을 제거하는데 충분할 수도 있다.
스트립핑 동작은 기판을 플라즈마에 노출하여 보호 막을 제거하는 것을 수반할 수도 있다. 다양한 실시예들에서, 플라즈마는 분자 산소 (O2) 로부터 생성될 수도 있다. 부가적인 반응물질들 및/또는 불활성 가스가 또한 제공될 수도 있다. 산소-함유 플라즈마는, 다양한 다른 보호 막 조성들을 제거하도록 또한 사용될 수도 있지만, 보호 막이 플루오로카본 막 (예를 들어, 하이드로플루오로카본 막) 인 경우들에서 특히 유용하다.
보호 막이 플라즈마를 사용하여 제거되는 일 예에서, 플라즈마는 ICP 및/또는 CCP일 수도 있다. 기판을 프로세싱하도록 사용된 장치가 도 5에 도시된 장치와 유사한 경우들에서, (존재한다면) ICP 는 상부 챔버 영역에서 생성될 수도 있고, 그리고 (존재한다면) CCP는 하부 챔버 영역에서 생성될 수도 있다. 다른 경우들에서, ICP 및/또는 CCP가 분리된 상부 챔버 영역 및 하부 챔버 영역이 없는 반응 챔버에서 형성될 수도 있다. ICP가 사용되는 경우들에서, ICP를 생성하도록 사용된 RF 전력은 약 200 내지 2000 W일 수도 있다. CCP가 사용되는 경우들에서, CCP를 생성하도록 사용된 RF 전력은 약 50 내지 500 W일 수도 있다. 이들 전력 레벨들은 단일 300 ㎜ 직경 기판이 반응 챔버 내에 존재하고, 다른 사이즈들의 기판들에 대해 스케일링될 수도 있다는 것을 가정한다. 스트립핑 동안 기판 및/또는 기판 온도는 약 -10 내지 110 ℃로 유지될 수도 있다. 스트립핑 동안 반응 챔버 내 (예를 들어, 존재한다면, 하부 챔버 영역 내) 압력은 약 0.5 내지 3 Torr일 수도 있다. 반응물질들의 플로우 레이트는 약 200 내지 5000 sccm일 수도 있다. 스트립핑 동작 각각의 지속기간은 약 10 내지 60 초일 수도 있다.
다른 프로세스들이 또한 보호 막을 스트립핑하도록 사용될 수도 있다. 이러한 프로세스들은 대안적인 산소 함유 종 (예를 들어, 이산화탄소 (CO2), 아산화질소 (N2O), 산화질소 (NO)) 을 활용할 수도 있고, 그리고/또는 희석 종 (예를 들어, 질소 (N2), 아산화질소 (N2O), 아르곤 (Ar), 헬륨 (He), 원소적 수소 (H2), 등) 을 사용하여 산소 함유 종을 희석할 수도 있다.
일부 실시예들에서, 보호 막을 제거하기 위한 스트립핑 동작은 측벽들의 측방향 에칭 및 보호 막의 증착을 수행하도록 사용된 동일한 반응 챔버에서 수행될 수도 있다. 이 경우, 도 2a 및 도 2b의 방법들 각각은 단일 반응 챔버에서 전적으로 수행될 수도 있다. 또 다른 실시예에서, 에칭 및 증착은 분리된 반응 챔버들에서 수행되고, 스트립핑 동작은 기판을 에칭하도록 사용된 반응 챔버, 또는 보호 막을 증착하도록 사용된 반응 챔버에서 수행된다. 이 경우, 도 2a 및 도 2b의 방법들 각각은 2 개의 상이한 반응 챔버들을 사용하여 수행될 수도 있다. 또 다른 실시예에서, 에칭, 증착, 및 스트립핑은 모두 분리된 반응 챔버들에서 수행된다. 이 경우, 도 2a 및 도 2b의 방법들 각각은 3 개의 상이한 반응 챔버들을 사용하여 수행될 수도 있다. 단일 기판을 프로세싱하기 위해 2 이상의 반응 챔버가 사용되는 경우들에서, 도 2a 및 도 2b의 방법들은 필요에 따라, 상이한 반응 챔버들 사이에서 기판을 이송하는 단계를 더 포함할 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치에 의해 수행될 수도 있다. 적합한 장치는 본 실시예들에 따른 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
이제 도 5를 참조하면, 본 개시에 따른 제 2 재료에 대해 제 1 재료를 선택적으로 에칭하기 위한 기판 프로세싱 챔버 (500) 의 일 예가 도시된다. 특정한 기판 프로세싱 챔버가 도시되고 기술되지만, 본 명세서에 기술된 방법들은 다른 타입들의 기판 프로세싱 시스템들 상에서 구현될 수도 있다. 일부 예들에서, 기판 프로세싱 챔버 (500) 는 리모트 (예를 들어, 기판으로부터 업스트림에) ICP 소스를 포함한다. 선택가능한 CCP 소스가 제공될 수도 있다.
기판 프로세싱 챔버 (500) 는 하부 챔버 영역 (502) 및 상부 챔버 영역 (504) 을 포함한다. 하부 챔버 영역 (502) 은 챔버 측벽 표면들 (508), 챔버 하단 표면 (510) 및 가스 분배 디바이스 (514) 의 하부 표면에 의해 규정된다. 일부 예들에서, 가스 분배 디바이스 (514) 는 생략된다.
상부 챔버 영역 (504) 은 가스 분배 디바이스 (514) 의 상부 표면 및 상부 챔버 벽 (518) (예를 들어, 돔 형상 챔버) 의 내측 표면에 의해 규정된다. 일부 예들에서, 상부 챔버 벽 (518) 은 제 1 환형 지지부 (521) 상에 놓인다. 일부 예들에서, 이하에 더 기술될 바와 같이, 제 1 환형 지지부 (521) 는 상부 챔버 영역 (504) 으로 프로세스 가스를 전달하기 위해 하나 이상의 가스 플로우 채널들 및/또는 홀들 (523) 을 포함한다. 가스 플로우 채널들 및/또는 홀들 (523) 은 상부 챔버 영역 (504) 의 주변부 둘레에 균일하게 이격될 수도 있다. 일부 예들에서, 프로세스 가스는 하나 이상의 가스 플로우 채널들 및/또는 홀들 (523) 에 의해 가스 분배 디바이스 (514) 를 포함하는 평면에 대해 예각으로 상향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (521) 의 플레넘 (534) 은 하나 이상의 가스 플로우 채널들 및/또는 홀들 (523) 로 가스를 공급한다.
제 1 환형 지지부 (521) 는 하부 챔버 영역 (502) 으로 프로세스 가스를 전달하기 위해 하나 이상의 가스 플로우 채널들 및/또는 홀들 (527) 을 규정하는 제 2 환형 지지부 (525) 상에 놓일 수도 있다. 일부 예들에서, 가스 분배 디바이스 (514) 의 홀들 (531) 은 가스 플로우 채널들 및/또는 홀들 (527) 과 정렬한다. 다른 예들에서, 가스 분배 디바이스 (514) 는 보다 작은 직경을 갖고 홀들 (531) 이 필요하지 않다. 일부 예들에서, 프로세스 가스는 하나 이상의 가스 플로우 채널들 및/또는 홀들 (527) 에 의해 가스 분배 디바이스 (514) 를 포함하는 평면에 대해 예각으로 기판을 향해 하향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다.
다른 예들에서, 상부 챔버 영역 (504) 은 평탄한 상단 표면을 갖는 실린더형이고, 하나 이상의 평탄한 유도 코일들이 사용될 수도 있다. 여전히 다른 예들에서, 샤워헤드와 기판 지지부 사이에 위치된 스페이서와 함께 단일 챔버가 사용될 수도 있다.
기판 지지부 (522) 는 하부 챔버 영역 (504) 내에 배치된다. 일부 예들에서, 기판 지지부 (522) 는 정전 척 (ESC) 을 포함하지만, 다른 타입들의 기판 지지부들이 사용될 수 있다. 기판 (526) 은 에칭 동안 기판 지지부 (522) 의 상부 표면 상에 배치된다. 일부 예들에서, 기판 (526) 의 온도는 히터 플레이트 (541), 유체 채널들을 갖는 선택가능한 냉각 플레이트 및 하나 이상의 센서들 (미도시) 에 의해 제어될 수도 있지만, 임의의 다른 적합한 기판 지지부 온도 제어 시스템들이 사용될 수도 있다. 일부 예들에서, 온도 제어기 (543) 가 기판 지지부 (522) 의 가열 및 냉각을 제어하기 위해 사용될 수도 있다. 가열은 히터 플레이트 (541) 에 의해 수행될 수도 있고 냉각은 유체 채널들 (545) 을 갖는 냉각 플레이트에 의해 수행될 수도 있다.
온도 제어기 (547) 가 가스 분배 디바이스 (514) 의 플레넘으로 가열/냉각 유체를 공급함으로써 가스 분배 디바이스 (514) 의 온도를 제어하도록 사용될 수도 있다. 온도 제어기들 (543 및/또는 547) 은 유체의 소스, 펌프, 제어 밸브들 및 온도 센서 (모두 미도시) 를 더 포함할 수도 있다.
일부 예들에서, 가스 분배 디바이스 (528) 는 샤워헤드 (예를 들어, 복수의 이격된 홀들 (529) 을 가진 플레이트 (528)) 를 포함한다. 복수의 이격된 홀들 (529) 은 플레이트 (514) 의 상부 표면으로부터 플레이트 (514) 의 하부 표면으로 연장된다. 일부 예들에서, 이격된 홀들 (529) 은 0.1"에서 0.75" 범위의 직경을 가지고 샤워헤드는 알루미늄과 같은 도전성 재료 또는 도전성 재료로 만들어진 임베딩된 전극 (embedded electrode) 을 가지는 세라믹과 같은 비-도전성 재료로 이루어진다. 이하에 더 기술된 다른 예들에서, 보다 작은 홀들 (529) 이 표면 대 체적 비를 상승시키도록 사용될 수 있다.
하나 이상의 유도 코일들 (540) 은 상부 챔버 벽 (518) 의 외측 부분 둘레에 배열된다. 에너자이징되면 (energized), 하나 이상의 유도 코일들 (540) 이 상부 챔버 벽 (518) 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (542) 가 가스 전달 시스템 (550-1) 으로부터 상부 챔버 영역 (504) 내로 하나 이상의 가스 혼합물들을 주입한다.
일부 예들에서, 가스 전달 시스템 (550-1) 이, 하나 이상의 가스 소스들 (552), 하나 이상의 밸브들 (554), 하나 이상의 질량 유량 제어기들 (MFCs) (556) 및 혼합 매니폴드 (mixing manfold) (558) 를 포함하지만, 다른 타입들의 가스 전달 시스템들이 사용될 수도 있다. 가스 스플리터 (미도시) 가 가스 혼합물의 플로우 레이트들을 가변하도록 사용될 수도 있다. 또 다른 가스 전달 시스템 (550-2) 이 에칭 가스, 튜닝 가스, 퍼지 가스 또는 다른 가스 혼합물들 (가스 주입기 (542) 로부터의 에칭 가스에 더하여 또는 대신하여) 을 가스 플로우 채널들 및/또는 홀들 (523 및/또는 527) 에 공급하기 위해 사용될 수도 있다.
적합한 가스 전달 시스템들은 그 전체가 참조로서 본 명세서에 인용된 2015년 12월 4일에 출원된, 명칭이 "Gas Delivery System"인 공동으로 양도된 미국 특허 출원 제 14/945,680 호에 도시되고 기술된다. 적합한 단일 또는 듀얼 가스 주입기들과 다른 가스 주입 위치들은 그 전체가 참조로서 본 명세서에 인용된 2016년 1월 7일에 출원된 명칭이 "Substrate Processing System with Multiple Injection Points and Dual Injector"인 공동으로 양도된 미국 가특허 출원 제 62/275,837 호에 도시되고 기술된다.
일부 예들에서, 가스 주입기 (542) 는 가스를 하향 방향으로 지향시키는 중앙 주입 위치와 하향 방향에 대하여 비스듬히 가스를 주입하는 하나 이상의 측면 주입 위치들을 포함한다. 일부 예들에서, 가스 전달 시스템 (550-1) 은 가스 혼합물의 제 1 부분을 제 1 플로우 레이트로 중앙 주입 위치에 그리고 가스 혼합물의 제 2 부분을 제 2 플로우 레이트로 가스 주입기 (542) 의 측면 주입 위치(들)로 전달한다. 다른 예들에서, 상이한 가스 혼합물들이 가스 주입기 (542) 에 의해 전달된다. 일부 예들에서, 가스 전달 시스템 (550-2) 은 후술될 바와 같이 튜닝 가스를 가스 플로우 채널들 및/또는 홀들 (523 및 527) 및/또는 프로세싱 챔버의 다른 위치들로 전달한다. 예를 들어, 가스 전달 시스템 (550-2) 은 또한 가스 분배 디바이스 (514) 의 플레넘으로 가스를 전달할 수 있다.
플라즈마 생성기 (570) 는 하나 이상의 유도 코일들 (540) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (590) 는 상부 챔버 영역 (504) 에서 생성된다. 일부 예들에서, 플라즈마 생성기 (570) 는 RF 생성기 (572) 와 매칭 네트워크 (574) 를 포함한다. 매칭 네트워크 (574) 는 RF 생성기 (572) 의 임피던스를 하나 이상의 유도 코일들 (540) 의 임피던스에 매칭시킨다. 일부 예들에서, 가스 분배 디바이스 (514) 는 접지와 같은 기준 전위에 연결된다. 밸브 (578) 와 펌프 (580) 는 하부 챔버 영역 (502) 및 상부 챔버 영역 (504) 의 내부의 압력을 제어하고 반응물질들을 배출시키도록 사용될 수도 있다.
제어기 (576) 는 프로세스 가스, 퍼지 가스, 튜닝 가스의 플로우, RF 플라즈마와 챔버 압력을 제어하기 위해 가스 전달 시스템들 (550-1 및 550-2), 밸브 (578), 펌프 (580) 및/또는 플라즈마 생성기 (570) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (540) 에 의해 상부 챔버 벽 (518) 의 내부에서 지속된다. 하나 이상의 가스 혼합물들은 가스 주입기 (542) (및/또는 가스 플로우 채널들 및/또는 홀들 (523)) 를 이용하여 챔버의 상단 부분으로부터 도입되고 플라즈마는 가스 분배 디바이스 (514) 를 이용하여 상부 챔버 벽 (518) 내에 한정된다.
상부 챔버 벽 (518) 내에 플라즈마를 한정하는 것은 플라즈마 종의 체적 재결합 (volume recombination) 과 가스 분배 디바이스 (514) 를 통한 목표된 에천트 종 (echant species) 의 발산을 허용한다. 일부 예들에서 기판 (526) 에 RF 바이어스가 인가되지 않는다. 그 결과, 기판 (526) 상에 활성화된 시스 (sheath) 가 없고 이온들이 임의의 유한한 에너지를 가지고 기판에 충돌하지 않는다. 일정 양의 이온들은 가스 분배 디바이스 (514) 를 통해 플라즈마 영역으로부터 확산될 것이다. 그러나, 확산되는 플라즈마의 양은 상부 챔버 벽 (518) 내부에 위치한 플라즈마 보다 한 자릿수 적다. 플라즈마의 대부분의 이온들은 고압에서 체적 재결합함으로써 손실된다. 가스 분배 디바이스 (514) 의 상부 표면에서의 표면 재결합 손실은 또한 가스 분배 디바이스 (514) 아래의 이온 밀도를 낮춘다.
일부 예들에서, RF 바이어스 전력 생성기 (584) 가 제공되고 RF 생성기 (586) 및 매칭 네트워크 (588) 를 포함한다. RF 바이어스는 가스 분배 디바이스 (514) 와 기판 지지부 사이에서 플라즈마를 생성하거나 이온들을 끌어당기기 위해 (attract) 기판 (526) 상에 자가-바이어스 (self-bias) 를 생성하는데 사용될 수 있다. 제어기 (576) 는 RF 바이어스를 제어하기 위해 사용될 수도 있다.
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용되거나 수행될 것이다.
막의 리소그래픽 패터닝은 통상적으로, 단계 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 예를 들어, 상부에 질화 실리콘 막이 형성된 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, 애시가능 (ashable) 하드마스크 층 (예컨대 비정질 탄소 층) 및 또 다른 적합한 하드마스크 (예컨대 반사방지 층) 는 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 접근방법들은 본질적으로 예시적이고, 이들 구체적인 상세들 또는 예들은 다수의 변동들이 가능하기 때문에, 제한하는 의미로 간주되지 않는다는 것이 이해되어야 한다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들이 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 작용들은 예시된 순서로, 다른 순서들로, 동시에 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변화될 수도 있다. 특정한 참조문헌들이 본 명세서에 참조로서 인용된다. 이러한 참조문헌들에서 이루어진 임의의 부정 또는 부인들은 본 명세서에 기술된 실시예들에 필수적으로 적용되지는 않는다는 것이 이해된다. 유사하게, 이러한 참조문헌들에 기술된 임의의 피처들은 필요에 따라 본 명세서의 실시예들에서 생략될 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들 및 구성들 및 다른 특징들, 기능들, 작용들, 및/또는 속성들의 모든 신규하고 명백하지 않은 결합들 및 하위-결합들, 뿐만 아니라 이들의 임의의 그리고 모든 등가물들을 포함한다.

Claims (22)

  1. 기판 상의 피처의 측벽으로부터 원치 않는 (unwanted) 재료를 측방향으로 에칭하는 방법에 있어서,
    (a) 기판을 에칭 플라즈마에 노출함으로써 에칭 동작을 수행하는 단계로서, 상기 에칭 플라즈마는 에칭 반응물질을 포함하는 리모트로 생성된 ICP (inductively coupled plasma) 를 포함하고, 상기 에칭 동작은 상기 피처의 상기 측벽의 부분으로부터 상기 원치 않는 재료를 측방향으로 에칭하는, 상기 에칭 동작을 수행하는 단계;
    (b) 상기 기판을 증착 플라즈마에 노출함으로써 증착 동작을 수행하는 단계로서, 상기 증착 플라즈마는 증착 반응물질을 포함하는 CCP (capacitively coupled plasma) 를 포함하고, 상기 증착 동작은 상기 피처의 상기 측벽의 제 2 부분 위에 보호 막을 형성하고, 상기 보호 막은 상기 측벽의 상단부 근방에서 가장 두껍고 상기 측벽의 하단부로는 완전히 연장하지 않도록 컨포멀하지 않은 (non-conformal), 상기 증착 동작을 수행하는 단계; 및
    (c) 상기 원치 않는 재료가 상기 피처의 전체 측벽을 따라 측방향으로 에칭될 때까지 상기 단계 (a) 의 에칭 동작 및 상기 단계 (b) 의 증착 동작을 순환적으로 수행하는 단계로서, 상기 단계 (a) 의 상이한 반복들은 상기 피처의 상기 측벽의 상이한 부분들로부터 상기 원치 않는 재료를 측방향으로 제거하고, 상기 단계 (b) 의 상이한 반복들은 상기 피처의 상기 측벽의 상이한 제 2 부분들 위에 상기 보호 막을 증착하고, 그리고 상기 단계 (a) 의 에칭 동작의 적어도 일 반복 동안, 측방향으로 에칭되는 상기 측벽의 상기 부분은 상기 단계 (b) 의 이전 반복에서 증착된 상기 보호 막에 의해 커버되는 상기 측벽의 상기 제 2 부분 바로 아래인, 상기 상기 단계 (a) 의 에칭 동작 및 상기 단계 (b) 의 증착 동작을 순환적으로 수행하는 단계를 포함하는, 측방향 에칭 방법.
  2. 제 1 항에 있어서,
    상기 단계 (a) 의 제 1 반복이 상기 측벽 상의 상기 보호 막 없이 수행되도록 상기 단계 (a) 의 에칭 동작의 제 1 반복이 상기 단계 (b) 의 증착 동작의 제 1 반복 전에 수행되고, 상기 단계 (a) 의 제 1 반복에서 측방향으로 에칭되는 상기 측벽의 상기 부분은 상기 측벽의 상단 부분인, 측방향 에칭 방법.
  3. 제 2 항에 있어서,
    상기 단계 (a) 의 제 1 반복이 상기 측벽 상의 상기 보호 막 없이 수행되도록 상기 단계 (a) 의 에칭 동작의 제 1 반복이 상기 단계 (b) 의 증착 동작의 제 1 반복 전에 수행되고, 상기 단계 (a) 의 제 1 반복에서 측방향으로 에칭되는 상기 측벽의 상기 부분은 상기 측벽의 상단 부분인, 측방향 에칭 방법.
  4. 제 3 항에 있어서,
    상기 단계 (a) 의 에칭 동작의 제 2 반복이 상기 단계 (b) 의 증착 동작의 상기 제 1 반복 후에 수행되고, 상기 단계 (a) 의 상기 제 2 반복에서 측방향으로 에칭되는 상기 측벽의 상기 부분은 상기 단계 (a) 의 제 1 반복에서 측방향으로 에칭되는 상기 측벽의 상기 부분과 비교하여 상기 피처에서 보다 깊은, 측방향 에칭 방법.
  5. 제 2 항에 있어서,
    상기 단계 (b) 의 증착 동작의 반복 각각에서 상기 보호 막이 위에 형성되는 상기 측벽의 상기 제 2 부분은 상기 보호 막이 상기 단계 (a) 의 이전 반복에서 방금 에칭된 상기 측벽의 상기 부분을 커버하도록 상기 단계 (b) 에서 항상 형성되도록, 상기 원치 않는 재료가 상기 단계 (a) 의 에칭 동작의 직전 반복에서 제거되는 상기 측벽의 상기 부분을 포함하는, 측방향 에칭 방법.
  6. 제 2 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 의 부가적인 반복들이 수행될 때, 상기 원치 않는 재료는 상기 측벽의 상기 상단부로부터 상기 측벽의 상기 하단부로 차례대로 제거되는, 측방향 에칭 방법.
  7. 제 6 항에 있어서,
    상기 보호 막은 상기 단계 (b) 의 부가적인 반복들이 수행될 때 상기 측벽을 따라 점진적으로 보다 큰 깊이에 도달하도록 형성되는, 측방향 에칭 방법.
  8. 제 7 항에 있어서,
    상기 보호 막은 상기 단계 (b) 의 상이한 반복들의 상이한 세트들의 증착 조건들을 사용하여 형성되는, 측방향 에칭 방법.
  9. 제 8 항에 있어서,
    상기 단계 (b) 의 상이한 반복들의 상기 상이한 세트들의 상기 증착 조건들은: 기판 지지부 온도, 압력, 상기 증착 반응물질의 플로우 레이트, 및 상기 CCP를 생성하도록 사용된 RF 전력으로 구성된 그룹으로부터 선택된 적어도 하나의 변수에 대해 서로로부터 가변하는, 측방향 에칭 방법.
  10. 제 1 항에 있어서,
    상기 단계 (b) 의 증착 동작의 제 1 반복은 상기 보호 막이 상기 측벽 상에 존재하는 동안 상기 단계 (a) 의 제 1 반복이 수행되도록 상기 단계 (a) 의 에칭 동작의 제 1 반복 전에 수행되는, 측방향 에칭 방법.
  11. 제 10 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 의 부가적인 반복들이 수행될 때, 상기 원치 않는 재료는 상기 측벽의 상기 하단부로부터 상기 측벽의 상기 상단부로 차례대로 제거되는, 측방향 에칭 방법.
  12. 제 11 항에 있어서,
    상기 보호 막은 상기 단계 (b) 의 부가적인 반복들이 수행될 때, 상기 측벽을 따라 점진적으로 얕은 깊이들에 도달하도록 형성되는, 측방향 에칭 방법.
  13. 제 11 항에 있어서,
    상기 단계 (a) 의 에칭 동작의 반복 각각 후에, 그리고 상기 단계 (b) 의 증착 동작의 후속하는 반복에서 상기 보호 막을 증착하기 전에, 상기 측벽으로부터 상기 보호 막을 스트립핑하는 단계를 더 포함하고, 상기 보호 막을 스트립핑하는 단계는 산소를 포함하는 스트립핑 플라즈마에 상기 기판을 노출하는 것을 포함하는, 측방향 에칭 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 보호 막은 하이드로플루오로카본-기반 폴리머 막인, 측방향 에칭 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 에칭 반응물질은 불소 라디칼들을 생성하는, 측방향 에칭 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 원치 않는 재료는 금속을 포함하는, 측방향 에칭 방법.
  17. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 원치 않는 재료는 폴리실리콘인, 측방향 에칭 방법.
  18. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 원치 않는 재료는 질화 실리콘인, 측방향 에칭 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 동일한 반응 챔버에서 발생하고,
    상기 반응 챔버는,
    가스 분배 디바이스에 의해 분리된 하부 챔버 영역 및 상부 챔버 영역,
    상기 상부 챔버 영역 내에서 상기 ICP를 생성하는 ICP 소스, 및
    상기 하부 챔버 영역 내에서 상기 CCP를 생성하는 CCP 소스를 포함하는, 측방향 에칭 방법.
  20. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 는 상이한 반응 챔버들에서 발생하고, 상기 단계 (a) 및 상기 단계 (b) 를 수행하기 위해 필요하다면, 상기 상이한 반응 챔버들 사이에서 상기 기판을 이송하는 단계를 더 포함하는, 측방향 에칭 방법.
  21. 제 1 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 피처는 제 1 스택 재료 및 제 2 스택 재료의 교번하는 층들을 포함하는 스택에 형성되고, 상기 단계 (a) 의 에칭 동작은 상기 제 1 스택 재료 및 상기 제 2 스택 재료 중 적어도 하나를 노출하고, 그리고 상기 단계 (a) 의 에칭 동작은 상기 원치 않는 재료가 상기 제 1 스택 재료 및 상기 제 2 스택 재료와 비교하여 우선적으로 제거되도록 선택적인, 측방향 에칭 방법.
  22. 기판 상의 피처의 측벽으로부터 원치 않는 재료를 측방향으로 에칭하기 위한 장치에 있어서,
    하부 챔버 영역 및 상부 챔버 영역을 포함하는 반응 챔버;
    상기 상부 챔버 영역으로부터 상기 하부 챔버 영역을 분리하는 가스 분배 디바이스;
    상기 상부 챔버 영역에서 ICP를 생성하는 ICP 소스;
    상기 하부 챔버 영역에서 CCP를 생성하는 CCP 소스;
    가스 상 반응물질들을 상기 상부 챔버 영역으로 전달하기 위한 제 1 유입구;
    가스 상 반응물질들을 상기 하부 챔버 영역으로 전달하기 위한 제 2 유입구;
    상기 하부 챔버 영역으로부터 가스 상 재료를 제거하기 위한 유출구; 및
    제어기를 포함하고,
    상기 제어기는,
    (a) 상기 기판이 상기 하부 챔버 영역에 위치되는 동안 상기 상부 챔버 영역에서 에칭 반응물질을 포함하는 유도 결합 에칭 플라즈마를 생성함으로써 에칭 동작을 수행하고, 상기 에칭 동작은 상기 피처의 상기 측벽의 부분으로부터 상기 원치 않는 재료를 측방향으로 에칭하고;
    (b) 상기 기판이 상기 하부 챔버 영역 내에 위치되는 동안 상기 하부 챔버 영역에서 증착 반응물질을 포함하는 용량 결합 증착 플라즈마를 생성함으로써 증착 동작을 수행하고, 상기 증착 동작은 상기 피처의 상기 측벽의 제 2 부분 위에 보호 막을 형성하고, 상기 보호 막은 상기 측벽의 상단부 근방에서 가장 두껍고 상기 측벽의 하단부로 완전히 연장하지 않도록 컨포멀하지 않고; 그리고
    (c) 상기 원치 않는 재료가 상기 피처의 전체 측벽을 따라 측방향으로 에칭될 때까지 상기 (a) 의 상기 에칭 동작 및 상기 (b) 의 증착 동작을 순환적으로 수행하고, 상기 (a) 의 상이한 반복들은 상기 피처의 상기 측벽의 상이한 부분들로부터 상기 원치 않는 재료를 측방향으로 에칭하고, 상기 (b) 의 상이한 반복들은 상기 피처의 상기 측벽의 상이한 제 2 부분들 위에 상기 보호 막을 증착하고, 그리고 상기 (a) 의 에칭 동작의 적어도 일 반복 동안, 측방향으로 에칭되는 상기 측벽의 상기 부분은 상기 (b) 의 이전 반복에서 증착된 상기 보호 막에 의해 커버되는 상기 측벽의 상기 제 2 부분 바로 아래인, 측방향 에칭 방법.
KR1020207006051A 2017-08-02 2018-07-26 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭 KR102574582B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/667,551 US10276398B2 (en) 2017-08-02 2017-08-02 High aspect ratio selective lateral etch using cyclic passivation and etching
US15/667,551 2017-08-02
PCT/US2018/043967 WO2019027811A1 (en) 2017-08-02 2018-07-26 SELECTIVE HIGH ASPECT RATIO LATERAL ENGRAVING USING CYCLIC PASSIVATION AND CYCLIC ENGRAVING

Publications (2)

Publication Number Publication Date
KR20200027568A true KR20200027568A (ko) 2020-03-12
KR102574582B1 KR102574582B1 (ko) 2023-09-04

Family

ID=65230386

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207006051A KR102574582B1 (ko) 2017-08-02 2018-07-26 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭

Country Status (7)

Country Link
US (2) US10276398B2 (ko)
JP (1) JP7210538B2 (ko)
KR (1) KR102574582B1 (ko)
CN (1) CN110998804A (ko)
SG (1) SG11202000849UA (ko)
TW (1) TW201921484A (ko)
WO (1) WO2019027811A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
US11532484B2 (en) 2018-10-26 2022-12-20 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching
TW202117847A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理
JP7496725B2 (ja) 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US20220181160A1 (en) * 2020-12-09 2022-06-09 Applied Materials, Inc. Methods and apparatus for in-situ protection of etched surfaces
US12004346B2 (en) 2021-03-12 2024-06-04 Micron Technology, Inc. Microelectronic devices with nitrogen-rich insulative structures
KR20230153993A (ko) * 2022-04-26 2023-11-07 주식회사 히타치하이테크 플라스마 처리 방법
WO2024044498A1 (en) * 2022-08-25 2024-02-29 6K Inc. Plasma apparatus and methods for processing feed material utilizing a powder ingress preventor (pip)
US20240120210A1 (en) * 2022-10-11 2024-04-11 Applied Materials, Inc. Isotropic silicon nitride removal
CN117438299B (zh) * 2023-12-21 2024-03-29 浙江集迈科微电子有限公司 Iii-v族化合物半导体材料的刻蚀方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US20040228067A1 (en) * 2003-02-28 2004-11-18 Martin Gutsche Capacitor arrangement for obtaining increased capacitance, and method for fabricating the same
JP2006287053A (ja) * 2005-04-01 2006-10-19 Hitachi High-Technologies Corp プラズマ処理装置
JP2009278062A (ja) * 2008-04-15 2009-11-26 Tokyo Electron Ltd 真空容器およびプラズマ処理装置
JP2011204764A (ja) * 2010-03-24 2011-10-13 Tokyo Electron Ltd 基板処理装置
JP2012023164A (ja) * 2010-07-14 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2012028682A (ja) * 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法
KR20140027666A (ko) * 2012-08-27 2014-03-07 삼성전자주식회사 계단형 패턴 형성 방법
US20170178923A1 (en) * 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP2002510878A (ja) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040077178A1 (en) 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4522795B2 (ja) * 2003-09-04 2010-08-11 株式会社日立ハイテクノロジーズ 真空処理装置
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR20060030717A (ko) 2004-10-06 2006-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR101167195B1 (ko) 2005-11-01 2012-07-31 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
WO2008062600A1 (en) 2006-11-22 2008-05-29 Sumitomo Precision Products Co., Ltd. Silicon structure with opening having high aspect ratio, method for manufacturing the silicon structure, apparatus for manufacturing the silicon structure, program for manufacturing the silicon structure, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
KR101605005B1 (ko) 2007-12-21 2016-03-21 램 리써치 코포레이션 Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
CN102007570B (zh) 2007-12-21 2013-04-03 朗姆研究公司 用高蚀刻速率抗蚀剂掩膜进行蚀刻
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
KR100875180B1 (ko) 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
JP2013229351A (ja) 2012-04-24 2013-11-07 Hitachi High-Technologies Corp ドライエッチング方法
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
KR20170014036A (ko) 2015-07-28 2017-02-08 삼성전자주식회사 반도체 장치
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US20040228067A1 (en) * 2003-02-28 2004-11-18 Martin Gutsche Capacitor arrangement for obtaining increased capacitance, and method for fabricating the same
JP2006287053A (ja) * 2005-04-01 2006-10-19 Hitachi High-Technologies Corp プラズマ処理装置
JP2009278062A (ja) * 2008-04-15 2009-11-26 Tokyo Electron Ltd 真空容器およびプラズマ処理装置
JP2011204764A (ja) * 2010-03-24 2011-10-13 Tokyo Electron Ltd 基板処理装置
JP2012023164A (ja) * 2010-07-14 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2012028682A (ja) * 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法
KR20140027666A (ko) * 2012-08-27 2014-03-07 삼성전자주식회사 계단형 패턴 형성 방법
US20170178923A1 (en) * 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Also Published As

Publication number Publication date
CN110998804A (zh) 2020-04-10
US11011388B2 (en) 2021-05-18
JP2020529732A (ja) 2020-10-08
TW201921484A (zh) 2019-06-01
US20190206697A1 (en) 2019-07-04
US20190043732A1 (en) 2019-02-07
JP7210538B2 (ja) 2023-01-23
WO2019027811A1 (en) 2019-02-07
KR102574582B1 (ko) 2023-09-04
US10276398B2 (en) 2019-04-30
SG11202000849UA (en) 2020-02-27

Similar Documents

Publication Publication Date Title
KR102574582B1 (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
US10580657B2 (en) Device fabrication via pulsed plasma
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착
TWI673791B (zh) 高深寬比結構中的接觸窗清洗
US9287134B2 (en) Titanium oxide etch
EP3038142A1 (en) Selective nitride etch
US20150214066A1 (en) Method for material removal in dry etch reactor
KR20170092113A (ko) 하드마스크의 자기-제한된 평탄화
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US11488831B2 (en) Efficient cleaning and etching of high aspect ratio structures
US20220181141A1 (en) Etch stop layer
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US12040193B2 (en) Efficient cleaning and etching of high aspect ratio structures

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant