TW201921484A - 使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻 - Google Patents

使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻

Info

Publication number
TW201921484A
TW201921484A TW107126300A TW107126300A TW201921484A TW 201921484 A TW201921484 A TW 201921484A TW 107126300 A TW107126300 A TW 107126300A TW 107126300 A TW107126300 A TW 107126300A TW 201921484 A TW201921484 A TW 201921484A
Authority
TW
Taiwan
Prior art keywords
etching
sidewall
substrate
protective film
feature
Prior art date
Application number
TW107126300A
Other languages
English (en)
Inventor
夸梅 伊森
朴弼延
直志 川口
承豪 朴
張校維
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201921484A publication Critical patent/TW201921484A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本文中描述用於從凹陷特徵部之側壁側向蝕刻不需要的材料之方法及設備。在各種實施例中,該方法涉及:蝕刻側壁之一部分、沉積保護膜在側壁之一部分上、及使蝕刻及沉積操作循環進行直到不需要的材料從凹陷特徵部之整個深度被去除。每一蝕刻及沉積操作可對準沿著特徵部側壁之特定深度。在一些例子中,由特徵部之底部往上去除不需要的材料;在其它例子中,由特徵部之頂部往下去除不需要的材料。亦可使用這些例子之一些組合。

Description

使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻
本發明係關於使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻。
在各種半導體處理方案中,高深寬比特徵部被蝕刻至材料堆疊中。示例性應用包括,但不限於,記憶體應用,例如DRAM及3D NAND元件之製造。通常,堆疊包括介電材料,並且可包括交替的材料層,例如氧化物及氮化物或氧化物及多晶矽。在蝕刻高深寬比特徵部(例如,形成凹陷的圓柱體、溝槽等)之後,進行選擇性蝕刻處理,以回蝕堆疊中之該等材料其中一者。在一些例子中,在該選擇性蝕刻之後,可沉積襯墊(liner)材料。接著,沿著特徵部之側壁而沉積一材料(例如,在許多例子中為金屬、多晶矽或介電質),包括在被選擇性回蝕之區域內。接著必須去除該材料,以使在先前被選擇性回蝕之每一區域中所沉積之材料電性隔離。以下參考圖1A-1E而進一步討論該處理方案。
本文中之各種實施例係關於從半導體基板蝕刻不需要的材料之方法、設備及系統。在許多例子中,從半導體基板上之凹陷特徵部之側壁側向蝕刻不需要的材料。蝕刻可在複數階段中發生,與沉積操作循環進行,該沉積操作會沉積保護膜在側壁之一部分上。此保護膜會保護側壁之被覆蓋部分而免於過度蝕刻,並且在後續的蝕刻操作期間促使蝕刻反應物進一步向下傳送至特徵部中。在一些實施例中,由側壁頂部至側壁底部而從特徵部去除不需要的材料。在其它實施例中,該順序是相反的,由側壁底部至側壁頂部而從特徵部去除不需要的材料。
在所揭示的實施例之一態樣中,提出一種從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,該方法包括:(a) 藉由使基板暴露至蝕刻電漿以執行蝕刻操作,蝕刻電漿包括遠端產生的感應耦合電漿,遠端產生的感應耦合電漿包括蝕刻反應物,其中蝕刻操作從特徵部之側壁之一部分側向蝕刻該不需要的材料;(b) 藉由使基板暴露至沉積電漿以執行沉積操作,沉積電漿包括電容耦合電漿,電容耦合電漿包括沉積反應物,其中沉積操作形成保護膜在特徵部之側壁之第二部分上,其中保護膜為非保形的,俾使其在側壁之頂部附近為最厚的,且並非一直延伸至側壁之底部;及 (c) 使步驟 (a) 之蝕刻操作及步驟 (b) 之沉積操作循環進行,直到不需要的材料沿著特徵部之整個側壁被側向蝕刻,其中步驟 (a) 之複數不同疊代(iteration)從特徵部之側壁之複數不同的部分側向蝕刻不需要的材料,其中步驟 (b) 之複數不同疊代形成保護膜在特徵部之側壁之複數不同的第二部分上,及其中在步驟 (a) 之蝕刻操作之至少一疊代期間,被側向蝕刻之側壁部分係在步驟 (b) 之先前疊代中沉積之保護膜所覆蓋之側壁之第二部分之正下方。
在一些實施例中,在步驟 (a) 中之蝕刻操作之第一疊代係在步驟 (b) 中之沉積操作之第一疊代之前執行,俾使步驟 (a) 之第一疊代被執行而沒有保護膜在側壁上,且在步驟 (a) 之第一疊代中被側向蝕刻之側壁部分係側壁之頂部部分。在一些這樣的例子中,在步驟 (b) 中之沉積操作之第一疊代係形成保護膜在與在步驟 (a) 中之蝕刻操作之第一疊代中被側向蝕刻之側壁之相同部分上。在步驟 (a) 中之蝕刻操作之第二疊代可在步驟 (b) 中之沉積操作之第一疊代之後執行,且相較於在步驟 (a) 之第一疊代中被側向蝕刻之側壁部分,在步驟 (a) 之第二疊代中被側向蝕刻之側壁部分可在特徵部中較深處。在這些及其它例子中,在步驟 (b) 中之沉積操作之每一疊代中形成保護膜於其上之側壁之第二部分可包括在步驟 (a) 中之蝕刻操作之緊接的先前疊代中不需要的材料被去除處之側壁部分,俾使保護膜總是在步驟 (b) 中形成,以覆蓋在步驟 (a) 之先前疊代中剛剛被蝕刻之側壁部分。
在某些實行例中,不需要的材料由側壁頂部至側壁底部被去除,以該順序,執行步驟 (a) 及步驟 (b) 之另外的疊代。在若干實施例中,保護膜被形成,俾使當執行步驟 (b) 之另外的疊代時,保護膜沿著側壁而逐漸地到達更深處。在一些這樣的例子中,在步驟 (b) 之不同疊代中使用不同組之沉積條件以形成該保護膜。在一範例中,在步驟 (b) 之不同疊代中之不同組之沉積條件在至少一變數上係彼此不同的,該至少一變數係選自於由基板支撐件溫度、壓力、沉積反應物之流率、及用於產生電容耦合電漿之RF功率所組成之群組。
在另一實施例中,在步驟 (b) 中之沉積操作之第一疊代係在步驟 (a) 中之蝕刻操作之第一疊代之前執行,俾使步驟 (a) 之第一疊代被執行時,保護膜存在側壁上。在一些實行例中,不需要的材料係由側壁底部至側壁頂部被去除,以該順序,執行步驟 (a) 及步驟 (b) 之另外的疊代。在這些或其它實行例中,保護膜可形成,俾使當執行步驟 (b) 之另外的疊代時,保護膜沿著側壁而逐漸地到達較淺處。在一些例子中,該方法更包括在步驟 (a) 中之蝕刻操作之每一疊代之後、及在步驟 (b) 中之沉積操作之隨後疊代中沉積保護膜之前,從側壁剝除保護膜,其中剝除保護膜包括使基板暴露至包括氧之剝除電漿。
在某些實施例中,保護膜為基於氫氟碳化合物的聚合物膜。在一些其它例子中,保護膜為矽、矽氧化物或矽氮化物。在各種例子中,蝕刻反應物可產生氟自由基。在某些實行例中,不需要的材料包括金屬。金屬可為元素態金屬。在一些例子中,元素態金屬為鎢。在一些其它實行例中,不需要的材料為多晶矽。在一些其它實行例中,不需要的材料為矽氮化物。
在一些例子中,步驟 (a) 及步驟 (b)在同一反應腔室中發生,其中反應腔室包括:下腔室區域及上腔室區域,由氣體分配裝置所隔開;感應耦合電漿源,在上腔室區域中產生感應耦合電漿;及電容耦合電漿源,在下腔室區域中產生電容耦合電漿。在一些其它例子中,步驟 (a) 及步驟 (b)在不同的反應腔室中發生,該方法更包括在用於執行步驟 (a) 及步驟 (b) 所需之不同的反應器之間轉移基板。
在某些實行例中,特徵部係形成在堆疊中,堆疊包括第一堆疊材料及第二堆疊材料之複數交替層,其中在步驟 (a) 中之蝕刻操作使第一堆疊材料及第二堆疊材料其中至少一者外露,及其中在步驟 (a) 中之蝕刻操作係選擇性的,俾使相較於第一堆疊材料及第二堆疊材料,不需要的材料被優先去除。
在本文中之實施例之另一態樣中,提出一種從基板上之特徵部之側壁側向蝕刻不需要的材料之設備,該設備包括:反應腔室,包括下腔室區域及上腔室區域;氣體分配裝置,將下腔室區域與上腔室區域分隔開;感應耦合電漿源,在上腔室區域中產生感應耦合電漿;電容耦合電漿源,在下腔室區域中產生電容耦合電漿;第一入口,用於將氣態反應物傳送至上腔室區域;第二入口,用於將氣態反應物傳送至下腔室區域;出口,用於從下腔室區域去除氣態材料;及控制器,用以 (a) 執行蝕刻操作,當基板放置在下腔室區域中時,藉由在上腔室區域中產生感應耦合蝕刻電漿以執行蝕刻操作,感應耦合蝕刻電漿包括蝕刻反應物,其中蝕刻操作從特徵部之側壁之一部分側向蝕刻不需要的材料;(b) 執行沉積操作,當基板放置在下腔室區域中時,藉由在下腔室區域中產生電容耦合沉積電漿以執行沉積操作,電容耦合沉積電漿包括沉積反應物,其中沉積操作形成保護膜在特徵部之側壁之第二部分上,其中保護膜為非保形的,俾使其在側壁之頂部附近為最厚的,且並非一直延伸至側壁之底部;及 (c) 使步驟 (a) 之蝕刻操作及步驟 (b) 之沉積操作循環進行,直到不需要的材料沿著特徵部之整個側壁被側向蝕刻,其中步驟 (a) 之複數不同疊代從特徵部之側壁之複數不同的部分側向蝕刻不需要的材料,其中步驟 (b) 之複數不同疊代沉積保護膜在特徵部之側壁之複數不同的第二部分上,及其中在步驟 (a) 之蝕刻操作之至少一疊代期間,被側向蝕刻之側壁部分係在步驟 (b) 之先前疊代中沉積之保護膜所覆蓋之側壁之第二部分之正下方。
以下將參考圖式以進一步說明這些及其它態樣。
在本說明書中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造之積體電路」可交換使用。熟悉此項技藝者應能了解,用語「部分製造之積體電路」可表示在積體電路製造之許多階段其中任一者期間之矽晶圓。使用在半導體元件產業中之晶圓或基板通常具有200 mm、或300 mm、或450 mm之直徑。以下之實施方式假設實施例是在晶圓上實行。然而,實施例並不受限於此。工作件可能具有各種形狀、尺寸及材料。除了半導體晶圓之外,可利用所揭示之實施例之其它工作件包括各種物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械裝置、及類似物。
在以下之敘述中,將提出數個特定細節以提供對所述實施例之徹底瞭解。所揭示之實施例可在缺乏部分或全部這些特定細節之情況下實施。在其它情況下,已為人所熟知之處理步驟將不再詳述,以免不必要地模糊所揭示之實施例。雖然將利用特定之實施例來說明所揭示之實施例,但應當瞭解,其並非意圖限制所揭示之實施例。
圖1A-1F描繪出根據某些實施例之在不同時間點之部分製造的基板。在圖1A中,基板包括一堆疊, 堆疊具有第一材料102及第二材料103之複數交替層。在一範例中,第一材料102為氧化物材料(例如,矽氧化物),第二材料103為多晶矽,反之亦然。在另一範例中,第一材料102為氧化物材料,第二材料103為氮化物材料(例如,矽氮化物),反之亦然。一般而言,堆疊可包括低k介電材料、矽氮化物(Si3 N4 )、氮化鈦(TiN)和矽氧化物(SiO2 )。在沉積堆疊之後,將特徵部104蝕刻至堆疊中,如圖1B所示。特徵部可為高深寬比特徵部。在各種實施例中,特徵部之深度對寬度深寬比可在約20與200之間。在一些例子中,特徵部之深寬比可為至少約20、或至少約40、或至少約60、或至少約100、或至少約150。在這些或其它例子中,特徵部之深寬比可為約200或更小、或約120或更小、約100或更小、或約80或更小。通常,在本文所述之技術能夠在寬廣範圍之深寬比中進行處理。深寬比之計算為特徵部之深度除以特徵部之臨界直徑(例如,寬度)。在一些例子中,特徵部之深度可在約30 kA-120 kA之間、或在約45 kA-60 kA之間。在這些或其它例子中,特徵部之臨界直徑可在約250 A-1500 A之間、或在約500 A-1000 A之間。
接著,如圖1C所示,執行選擇性蝕刻以回蝕第二材料,而實質上保留第一材料。選擇性蝕刻會形成凹穴105。如圖1D所示,可沿著特徵部104之側壁而沉積襯墊106(例如,在一些例子中為氮化物材料,但亦可使用其它材料),包括形成凹穴105之襯墊。在一些例子中,可省略襯墊106 。接著,如圖1E所示,沿著特徵部104之側壁而沉積材料107(例如,在某些例子中為金屬、多晶矽或介電材料),包括在凹穴105內。如圖1F所示,從特徵部104之側壁將材料107之一部分去除。此蝕刻操作會電隔離在每一凹穴105中所形成之材料107之不同部分。因為蝕刻操作導致二或更多材料(例如,材料107及襯墊106、或材料107及第一材料102)沿著側壁而暴露,所以該蝕刻應該是選擇性的。例如,可最佳化蝕刻操作以蝕刻材料107,而實質上保留襯墊106及∕或第一材料102。
實際上,難以對高深寬比特徵部執行此蝕刻操作。例如,在使用濕蝕刻之例子中,蝕刻處理非常難以控制。隨著越來越窄的特徵部及越來越高的深寬比,難以將濕式化學品傳送至特徵部(特別是特徵部之底部)中以啟動蝕刻處理,且難以在蝕刻完成之後從特徵部去除濕式化學品。這些困難可能是由於毛細管作用所導致。在某些例子中,濕式化學品之去除可能導致圖案倒塌,其中特徵部之側壁倒塌在彼此上,因而實質上破壞了特徵部。此外,濕蝕刻處理難以控制,因為一旦化學品接觸基板之相關部分,蝕刻就會非常快速地發生。這些效果結合起來使得濕蝕刻難以納入各種處理方案中。
在使用習知的乾蝕刻之例子中,先前不可能以均勻的方式側向蝕刻高深寬比特徵部之側壁。例如,如圖1G所示,蝕刻化學品基本上在特徵部之頂部附近較集中∕可得,而基本上在特徵部之底部附近被耗盡。此耗盡是來自於蝕刻物種在特徵部之頂部附近對於側壁之損失(例如,經由反應、吸附等)。參考圖1E及1F,習知的乾蝕刻技術導致在特徵部104之頂部附近之材料107之過度蝕刻、以及在特徵部104之中間及∕或底部處之材料107之蝕刻不足(在許多例子中沒有蝕刻)。圖1H將進一步討論於下。
在本文中之各種實施例中,高深寬比特徵部之側向蝕刻係使用以下技術:(a) 沿著側壁之一部分而沉積保護膜、以及 (b) 在實質上沒有保護膜之區域中進行側壁之乾蝕刻。這些步驟可重複任何次數,並且可首先執行任一步驟。在一些例子中,這些步驟可與涉及保護膜去除之一步驟循環進行。在其它例子中,在完全蝕刻特徵部之後去除保護膜。特徵部側壁上之不需要的材料可由特徵部底部向上去除、或由特徵部頂部向下去除,特徵部之不同部分在不同的蝕刻操作中被蝕刻。圖2A和2B提供了用於實施所揭示的實施例之替代方法之流程圖。圖2A描述一處理,其中去除不需要的側壁材料係由特徵部頂部至特徵部底部;圖2B描述一處理,其中去除不需要的側壁材料係由特徵部底部至特徵部頂部。圖2A之描述係關於圖3A-3G所示之部分製造的基板;圖2B之描述係關於圖4A-4H 所示之部分製造的基板。
圖2A之方法開始於操作201,在處理設備中接收基板,基板具有高深寬比特徵部形成於其中。在許多例子中,基板將具有形成於其中之複數特徵部。如上所述,示例性深寬比在約20-200之間。參考圖3A,特徵部304可形成在第一材料302及第二材料303之複數交替層中。第一及第二材料302及303可分別為關於在圖 1A-1F中之第一及第二材料102及103所述之材料。可選地,可提供襯墊306,如圖所示。材料308及不需要的材料309是相同類型之材料,並且可為關於在圖1E及1F中之材料107所述之材料。不同的元件符號308及309係與材料之位置有關。具體而言,材料308位於第一材料302之複數層之間,在第二材料303被回蝕時所形成之凹槽內(例如,如關於圖1C、1E及1F所述),而不需要的材料309沿著特徵部304之側壁、位於這些凹槽之外側。許多實施例之目的為,去除不需要的材料309,同時實質上保留材料308。
接著,在操作203,執行電漿蝕刻以側向蝕刻特徵部304側壁之頂部,如圖3B所示。蝕刻處理將進一步描述於下。由於蝕刻處理之性質,蝕刻會受限於特徵部304之頂部。例如,如圖1G所示,蝕刻化學品集中在特徵部304之頂部附近,並且基本上在特徵部304中向下耗盡。因為如此少的蝕刻劑深入特徵部304中,所以蝕刻受限於特徵部304之頂部部分。蝕刻處理為選擇性的蝕刻,意味著它被量身打造以去除材料309同時基本上保留襯墊306(若其存在的話)及∕或第一材料302(若其外露的話)。
接著,在操作205,在特徵部304側壁之一部分上沉積保護膜310,如圖3C所示。沉積處理將進一步討論於下。通常,保護膜310由耐受在後續蝕刻操作中所使用之蝕刻化學品之材料所製成,俾使相較於不需要的材料309,保護膜310蝕刻得慢的多(或根本不蝕刻)。在第一次疊代中,保護膜310可形成在側壁頂部上。此可對應於特徵部304之先前已去除掉不需要的材料309之相同部分。藉由將保護膜310對準而形成在已去除不需要的材料309之區域中,可在後來的蝕刻步驟中保護這些區域免於過度蝕刻。
在操作207,執行另外的電漿蝕刻以側向蝕刻特徵部304側壁之一部分,例如,如圖3D所示。在操作207中所蝕刻之側壁部分是在保護膜310正下方之側壁部分。圖1H說明了在操作207期間蝕刻化學品之可得性。因為保護膜310保護在特徵部304之頂部附近之側壁免於進一步反應,所以蝕刻化學品直到抵達保護膜之深處才實質開始耗盡。低於此深度,蝕刻化學品進行反應以去除不需要的材料309,並且開始耗盡。
接著,在操作209中確定蝕刻是否完成。在一些例子中,操作207可以將不需要的材料309一直去除至特徵部304之底部,在此例子中蝕刻完成並且該方法繼續進行至操作211,其中保護膜310從特徵部304之側壁被剝離。剝除操作將進一步討論於下。
在其它例子中,例如,如圖3D所示,操作207僅僅從側壁去除餘留的不需要材料309其中一部分(例如,在先前已去除掉不需要的材料309之頂部之下方,以及在餘留有不需要的材料309之底部之上方)。在這些例子中,該方法從操作209繼續至操作205,其中在側壁之一部分上沉積另外的保護膜310,如圖3E所示。保護膜310可直接形成在先前沉積的保護膜310上。然而,在一些例子中,圖3D之第一保護膜310可在沉積圖3E之另外的保護膜310之前被剝離。在任何例子中,相較於在操作205之第一次疊代中所沉積之保護膜310,在操作205之第二次疊代中所沉積之保護膜310延伸至特徵部304中更深處。一般而言,當實施圖2A之方法時,隨著每次疊代,保護膜310可連續地沉積至特徵部304中更深處。然而,在一些例子中,與先前沉積之保護膜310相比,延伸至特徵部304中較淺處之保護膜310之沉積可能是有益的(例如,以更徹底地蝕刻側壁之特定部分)。
接著,在操作207,執行另外的電漿蝕刻,以從側壁之一部分去除不需要的材料309,如圖3F所示。如上所述,受到蝕刻之側壁部分是在保護膜310正下方之部分。參考圖1H,相較於操作207之先前的疊代,保護膜現在延伸至特徵部304中更深處。因此,蝕刻化學品能夠穿透至特徵部中更深處,以蝕刻側壁之相關部分。在圖3A-3G之範例中,在操作207之第二次疊代之後,完全去除不需要的材料309。因此,在操作209,確定蝕刻現在完成,且該方法繼續操作211,從特徵部之側壁將保護膜剝除,如圖3G所示。
另一方法描述在圖2B中,並參考圖4A-4H。圖2B之方法開始於操作221,將具有高深寬比特徵部形成於其中之基板提供在處理設備中。如上所述,在許多例子中,基板將具有形成於其中之複數特徵部。參考圖4A,特徵部404可形成在第一材料402及第二材料403之複數交替層中。第一及第二材料402及403可分別為關於在圖 1A-1F中之第一及第二材料102及103所述之材料。可選地,可提供襯墊406,如圖所示。材料408及不需要的材料409是相同類型之材料,並且可為關於在圖1E及1F中之材料107所述之材料。不同的元件符號408及409係與材料之位置有關。具體而言,材料408位於第一材料402之複數層之間,在第二材料403被回蝕時所形成之凹槽內(例如,如關於圖1C、1E及1F所述),而不需要的材料409沿著特徵部404之側壁、位於這些凹槽之外側。如上所指,許多實施例之目的為,去除不需要的材料409,同時實質上保留材料408。
該方法在操作223繼續,其中保護膜410沉積在特徵部404側壁之一部分上,如圖4B所示。保護膜410沉積至相對深的深度。沉積將進一步討論於下。接著,在操作225,側向蝕刻特徵部404側壁之一部分,以去除不需要的材料409,如圖4C所示。受到側向蝕刻之側壁部分為底部部分,在保護膜410之下方。因為保護膜410延伸至特徵部404中之深處,所以蝕刻化學品能夠穿透至特徵部中之深處以蝕刻在特徵部底部附近之側壁(例如,因為蝕刻化學品沒有透過與在特徵部頂部附近之不需要的材料409之反應而耗盡)。接著,在操作227,從側壁剝除保護膜410,如圖4D所示。剝除操作將進一步討論於下。在一些例子中,可省略此剝除操作227,例如,在操作225期間蝕刻條件會去除保護膜之大部分之例子中,因而不需要在單獨的步驟中將其剝離。
接著,確定蝕刻是否幾乎完成。當餘留的不需要材料409可在單一蝕刻操作中去除時,蝕刻幾乎完成。在蝕刻幾乎完成之例子中,該方法在操作331繼續,其中使用電漿蝕刻,以從特徵部404側壁之頂部部分側向蝕刻餘留的不需要材料409。此操作將參考圖 4G及4H而討論於下。
在操作229中確定蝕刻尚未接近完成之例子中,該方法在操作223繼續,其中將新的保護膜410沉積在特徵部404側壁之一部分上,如圖4E所示。相較於在操作223之第一次疊代中所沉積之第一保護膜410,在操作223之第二次疊代中所沉積之新保護膜410可延伸至特徵部404中較淺處。通常,當執行另外的疊代時,保護膜410可能形成得越來越淺。然而,在一些例子中,形成比先前的保護膜410更深之保護膜410可能是有益的,例如以更廣泛地從側壁之特定部分去除不需要的材料409。該方法繼續操作225之第二次疊代,其中使用電漿蝕刻以側向蝕刻特徵部側壁之一部分。受到蝕刻之部分為在操作223中所沉積之保護膜410正下方之部分。在操作223中,保護膜410應該沉積得足夠深,俾使在操作225期間,蝕刻化學品能夠穿透至特徵部中,以去除低於保護膜410之餘留的不需要材料409。如果保護膜410沉積得不夠深,則在蝕刻化學品能夠穿透至特徵部中之深處並且去除所有餘留的、未受保護的不需要材料409之前,蝕刻化學品可能變得過度耗盡。
在沉積保護膜410之後(如圖4E所示),在操作225中執行電漿蝕刻以側向蝕刻側壁,以去除不需要的材料409之一部分(如圖4F所示)。被去除之不需要的材料409之該部分是在保護膜410正下方之部分。接著,在操作227中,從特徵部404之側壁剝除保護膜410,如圖4G所示。該方法在操作229繼續,其中再次確定蝕刻是否幾乎完成。在圖4G之內容中,確定蝕刻幾乎完成,因為餘留的不需要材料409可在單一蝕刻操作中去除。因此,該方法在操作331繼續,其中側壁之頂部部分被側向蝕刻,以去除餘留的不需要材料409,如圖4H所示。此蝕刻完成,而在側壁上沒有任何保護膜410,俾使在特徵部404頂部附近之不需要的材料409可被去除。
使用圖2A及2B所述及圖3A-3G及4A-4H所示之處理方案,過度蝕刻側壁之任何部分之風險會顯著降低(相較於習知的濕及乾蝕刻方案),因為對於每一蝕刻操作而言,側壁之每一部分會 (1) 被對準以蝕刻特定量、(2) 由於保護膜之存在,被保護而免於蝕刻、或 (3) 由於沒有蝕刻劑化學品穿透至特徵部之相關深度處,被保護而免於蝕刻。此外,蝕刻之速率可以足夠慢而為可控制的,並且足夠快以提供可接受的生產量。因此,這些方法提供了優於上述的習知技術之實質優點。
本文中所述之技術亦為有利的,因為它們能夠實現對於所達成之側壁輪廓之緊密控制。因為每一蝕刻操作皆對準側壁之特定部分,所以可以控制在側壁之每一部分處之蝕刻程度。蝕刻程度可基於對準側壁之每一部分之蝕刻操作之持續時間而加以控制。例如,圖7A-7K描繪出在數個處理步驟之過程中之部分蝕刻的特徵部,其中每一蝕刻操作被控制以達成特定的蝕刻程度。圖7A-7K描繪出類似於圖4A-H中所示之處理順序,並且可使用圖2B之方法來達成。為了簡潔起見,僅僅將描述不同之處。
在此範例中,特徵部704係預先形成在第一材料702及第二材料703之複數交替層中。可選的襯墊706可存在,如圖所示。不需要的材料709形成特徵部704側壁之襯墊。如圖所示,重複地形成及去除保護膜710。在蝕刻操作之第一次疊代中(例如,從圖7B至7C),使用相對長的蝕刻持續時間。結果,在特徵部704底部附近之不需要的材料709∕材料708被回蝕至在第一材料702之層與層之間之顯著程度。相較於第一次疊代,在蝕刻操作之第二次疊代中(例如,從圖7E至7F),蝕刻持續時間被控制以達成較低的蝕刻程度(在側壁之相關部分處)。相較於第二次疊代,在蝕刻操作之第三次疊代中(例如,從圖7H至7J),蝕刻持續時間被控制以達成較低的蝕刻程度(在側壁之相關部分處)。相較於第三次疊代,在蝕刻操作之第四次疊代中(例如,從圖7K至7L),蝕刻持續時間被控制以達成較低的蝕刻程度。換言之,在每一蝕刻操作期間,蝕刻持續時間被控制,俾使餘留的材料708之量在特徵部704底部附近相對少,且在特徵部704頂部附近相對多。在另一實施例中,可使用圖2A之方法,以產生圖7L中所示之相同結構。雖然圖7A-7L描繪出,在每一蝕刻操作期間僅有單一記憶單元(每一記憶單元被界定在第一材料702之兩層之間)被對準而用於蝕刻,這是為了簡化起見。在一些實施例中,每一蝕刻操作可對準沿著側壁之多個記憶單元,這取決於,例如,在保護膜710之沉積期間及每一蝕刻疊代期間所使用之化學品及處理條件。
圖7L-7N描繪出替代結構,可藉由控制每一蝕刻操作之持續時間而達成。在圖7L中,在蝕刻之後,餘留在記憶單元內之材料708在特徵部704之底部附近較多,在特徵部704之頂部附近較少。在圖7M中,在蝕刻之後,大量的材料708餘留在特徵部頂部及側壁底部兩者處,而少量的材料708餘留在靠近側壁之中間之區域中。在圖7N中,在蝕刻之後所餘留之材料708在餘留較少量的材料708及餘留較多量的材料708之間交替。藉由控制每一蝕刻操作之持續時間,可根據需要而達成各種其它結構。此技術可能是成功的,因為每一蝕刻操作沿著側壁而對準特定的垂直區域。
在本文中所述之數個實施例中,從基板上之特徵部之側壁側向蝕刻不需要的材料之方法涉及使蝕刻操作及沉積操作循環進行。蝕刻操作從特徵部側壁之一部分側向蝕刻不需要的材料,沉積操作形成保護膜在特徵部側壁之第二部分上。當蝕刻及沉積操作彼此循環進行時,蝕刻操作之不同疊代從特徵部側壁之不同部分側向蝕刻不需要的材料,沉積操作之不同疊代沉積保護膜在特徵部側壁之不同的第二部分上。在一些例子中,在蝕刻操作之不同疊代中被蝕刻之側壁之不同部分可彼此重疊。類似地,在沉積操作之不同疊代中保護膜沉積在其上之側壁之不同第二部分可彼此重疊。換言之,雖然蝕刻操作及沉積操作之不同疊代對準側壁之「不同」部分,但是這些部分可重疊。做為一範例,第一蝕刻操作在側壁之一部分處(在約70-90 kA深度之間)蝕刻側壁,第二蝕刻操作在側壁之一部分處(在約60-80 kA深度之間)蝕刻側壁,第一蝕刻操作及第二蝕刻操作被視為是在側壁之不同部分上進行蝕刻,即使兩蝕刻操作皆對準在70-80 kA深度處之材料。類似地,沉積在側壁之頂部40%上之第一保護膜及沉積在側壁之頂部60%上之第二保護膜被視為是沉積在側壁之不同第二部分上。 [蝕刻操作]
如關於圖2A及2B所述,執行側向蝕刻操作至少兩次,並且可使沉積及∕或剝除操作循環任何次數,如以下之進一步描述。每一側向蝕刻操作皆對準高深寬比特徵部之側壁之特定部分。以這種方式,逐步地去除不需要的材料。在一些例子中,材料之去除係由側壁頂部至側壁底部,如關於圖2A所述。在其它例子中,材料之去除係由側壁底部至側壁頂部,如圖2B中所述。亦可使用其之某些組合。
在各種實施例中,蝕刻操作涉及傳送蝕刻反應物、由蝕刻反應物產生電漿、以及使基板暴露至電漿(例如,在原位電漿之例子中係直接暴露、或在遠端∕上游電漿之例子中係間接暴露),以側向蝕刻凹陷的高深寬比特徵部之側壁。蝕刻通常以等向性的方式發生。在數個實施例中,電漿為感應耦合電漿。感應耦合電漿可在上腔室區域(有時稱為電漿產生區域)中、在基板之上游產生。氣體分配裝置、格柵或其它結構可設置於上腔室區域與下腔室區域之間,其中基板位於基板支撐件上。氣體分配裝置或其它結構可用於控制濾過至下腔室區域中以與基板相互作用之物種之組成∕混合。可選地,氣體分配裝置亦可用於將氣體傳送至下腔室區域及∕或上腔室區域。在一些例子中,亦可提供電容耦合電漿。在一些例子中,電容耦合電漿可產生在基板支撐件與將基板與上腔室區域分離之氣體分配裝置(或其它結構)之間。可藉由在基板支撐件上施加偏壓(例如,AC偏壓)以產生電容耦合電漿,同時使氣體分配裝置(或其它結構)接地。圖5顯示一示例性設備,其將進一步討論於下。
圖6A為流程圖,描述以選擇性方式側向蝕刻凹陷的高深寬比特徵部側壁之方法600。在610處,將基板配置在基板處理系統之下腔室區域中。在614處,將蝕刻氣體混合物供應至上腔室區域。在618處,可選地將冷卻流體供應至在上腔室區域與下腔室區域之間之氣體分配裝置。在622處,可選地將吹淨氣體(purge gas)供應至氣體分配裝置,其可將吹淨氣體傳送至下腔室區域。在628處,在上腔室區域中觸發電漿一預定的蝕刻期間,以從基板側壁蝕刻不需要的材料。相對於在蝕刻期間外露或變得外露之附加材料,選擇性地蝕刻不需要的材料。參考圖1E及1F,蝕刻是選擇性的 ,俾使材料107被對準而去除,而襯墊106(若存在的話)及∕或第一材料102(若外露的話)實質上被保留。在632處,可將蝕刻氣體混合物轉變為蝕刻後氣體混合物一可選的蝕刻後期間。可提供蝕刻後期間,以對特徵部內之表面進行去氟化,且在最終蝕刻疊代期間,蝕刻後期間可能特別有用。在若干蝕刻操作中,可省略操作618、622及632其中一或多者。在634處,電漿熄滅。此處理從凹陷的高深寬比特徵部側壁之特定部分蝕刻不需要的材料。在每一蝕刻疊代中被對準之側壁部分是在保護膜正下方(例如,在特徵部中更深處)之部分(在蝕刻期間保護膜存在之疊代中)、或是特徵部之頂部(在蝕刻期間保護膜不存在之疊代中)。
若干不同的因素會影響蝕刻結果。這類的因素包括反應腔室內之壓力、進入反應腔室之物種之總流量及這類物種之組成(包括,例如,這類物種之氟含量)、用於產生感應耦合電漿之RF功率位準、以及用於產生電容耦合電漿(若存在的話)之RF功率位準。在某些實施例中,在蝕刻期間之壓力可在約0.1-10 Torr之間。在基板具有約300 mm直徑、且蝕刻劑為含鹵素物種之一些範例中,蝕刻劑之流率可在約1-1000 sccm之間。進入反應腔室之物種(例如,包括蝕刻劑,惰性物種及任何其它物種)之總流率可在約50-5000 sccm之間。這些流率僅提供做為範例,並且可針對不同尺寸之基板及設備以及具有不同氟含量之蝕刻劑進行調整。在一些實行例中,用於產生感應耦合電漿之RF功率可在約13.56 MHz之頻率下在約200-3000 W之間。在除了感應耦合電漿之外還使用電容耦合電漿之例子中,施加至基板支撐件以產生電容耦合電漿之偏壓可在約13.56 MHz之頻率下在約50-1000 W之間。這些功率位準係假設在蝕刻期間單一300 mm直徑基板存在腔室中,並且可根據基板表面積加以縮放。亦可使用其它功率位準及頻率。在一些例子中,基板及∕或基板支撐件可維持在約-10與120 °C之間之溫度、或低於約60 °C。在一些例子中,每一蝕刻疊代之持續時間可在約10-100秒之間。
可提供在蝕刻氣體混合物中之示例性反應物包括,但不限於,氟基蝕刻劑(例如,四氟化碳(CF4 )、三氟化氮(NF3 )、六氟化硫(SF6 )、氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )、四氟化矽(SiF4 )、六氟丁二烯(C4 F6 )、六氟乙烷(C2 F6 )等)、含氧物種(例如,氧氣(O2 )、氧化亞氮(N2 O)、硫化羰(COS) 、一氧化碳(CO)等)、含氯物種(例如,氯(Cl2 )、三氯化硼(BCl3 )、氯化氫(HCl)等)、含硫物種(例如,硫化氫(H2 S) 、羰基硫(COS)、六氟化硫(SF6 )等)、烴(例如,甲烷(CH4 )等)、其它氫基物種(例如,分子氫(H2 ))、惰性物種(例如, N2 、Ar、He等)及其組合。特定範例包括於下。在許多例子中,蝕刻化學品產生氟自由基(F*),其用於從側壁去除不需要的材料。在一些例子中,亦可產生額外的自由基(例如,H*及N*)。
在一範例中,從側壁去除之不需要的材料為金屬,例如鎢。在此範例中,蝕刻氣體混合物可包括氟基反應物。氟基反應物可包括四氟化碳(CF4 )、三氟化氮(NF3 )、六氟化硫(SF6 )、氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )、氟仿(CHF3 )、六氟丁二烯(C4 F6 )等。蝕刻氣體混合物可更包括分子氧(O2 )、分子氮(N2 )、分子氯(Cl2 )等。在一實施例中,蝕刻氣體混合物包括分子氫(H2 )、及選自於由三氟化氮(NF3 )、四氟化碳(CF4 )及六氟化硫(SF6 )所組成之群組之一或更多氣體。在另一實施例中,蝕刻氣體混合物可包括一氧化碳(CO)及分子氮(N2 )。在另一實施例中,除了選自於由六氟化硫(SF6 )、三氟化氮(NF3 )、氟甲烷( CH3 F)、二氟甲烷(CH2 F2 )及四氟甲烷(CF4 )所組成之群組之一或更多氣體之外,氣體混合物還可包括分子氮(N2 )及∕或分子氧(O2 )。在另一實施例中,氣體混合物可包括分子氧(O2 )及分子氯(Cl2 )。在另一實施例中,氣體混合物可包括分子氯(Cl2 )、及選自於由六氟化硫(SF6 )、三氟化氮(NF3 )、氟甲烷( CH3 F)、二氟甲烷(CH2 F2 )及四氟甲烷(CF4 )所組成之群組之一或更多反應物。基板及∕或基板支撐件可維持在約40-120 °C之間之溫度。下腔室區域中之壓力可在約0.05-10 Torr之間,例如在約0.1-5 Torr之間。在一些例子中,可以至少約50 Å∕分鐘之蝕刻速率而去除金屬。在一些實行例中,在金屬層之去除期間,金屬相對於另一材料(例如,氮化物、氧化物、其它金屬材料)之選擇性大於約10:1,意味著去除金屬之速率比去除氮化物或氧化物快10倍。在一些例子中,金屬相對於另一材料之選擇性大於200:1。
在第二範例中,不需要的材料是矽(例如,在一些例子中為多晶矽),且蝕刻氣體混合物可包括氟基物種及氫基物種。氫基物種之濃度可大於氟基物種之濃度。例如,蝕刻氣體混合物可包括體積百分比約0.7-10 %之氟基物種、以及體積百分比大於約50%之氫基物種。在一些例子中,氫基物種可包括氫(H2 )及∕或氨(NH3 )。氟基物種可包括三氟化氮(NF3 ),氟仿(CHF3 ),六氟丁二烯(C4 F6 )及∕或四氟化碳(CF4 )。基板及∕或基板支撐件可維持在約60 °C或更高之溫度,或者在一些例子中在約40-120 °C之溫度。下腔室區域中之壓力可為約5 Torr或更小(在一些例子中,在約0.1-5 Torr之間)。在一些例子中,可以每分鐘約200 Å之蝕刻速率去除矽。在一些實行例中,蝕刻劑更包括與氟基物種不同之改質氣體物種,改質氣體物種包括三氟化氮(NF3 )、四氟化碳(CF4 )、氟甲烷(CH3 F)及六氟化硫(SF6 )其中至少一者。在一些實行例中,晶圓被支撐在靜電夾盤上並且更包括原生氧化物層,蝕刻方法更包括將偏壓施加至基板支撐件(亦稱為靜電夾盤),以在下腔室區域中產生至少一氟基蝕刻劑之電容耦合電漿,並且使基板暴露至電容耦合電漿以去除原生氧化物層,其中原位(in-situ)執行原生氧化物層之去除,伴隨著多晶矽層之去除。在一些實行例中,在去除多晶矽層期間,矽相對於另一材料(例如,氮化物或氧化物材料)之選擇性大於約500:1,意味著去除矽之速率是去除氮化物或氧化物之500倍。
在第三範例中,不需要的材料是矽氮化物。以上關於蝕刻矽所提供之細節可類似地應用於蝕刻矽氮化物。然而,在一些例子中,矽氮化物蝕刻可在約-10與60 °C之間之基板溫度下發生。可用於蝕刻矽氮化物之示例性處理氣體包括,例如,三氟化氮(NF3 )、四氟化碳(CF4 )、六氟化硫(SF6 )、氦(He)、氬(Ar)、分子氧(O2 )、氧化亞氮(N2 O)、分子氮(N2 )、及其組合。
可在吹淨氣體中提供之示例性氣體包括,但不限於,He、Ar及N2 。可在蝕刻後氣體混合物中提供之示例性氣體包括,但不限於,含氫物種(例如,H2 、NH3 、CH4 、C2 H4 、C3 H6 、C2 H6 等)、含氧物種(例如,O2 、CO2 、N2 O、H2 O、O3 等)、及其組合。
對於單一基板上之不同蝕刻疊代,影響蝕刻操作之上述各種因素可為相同的。在其它例子中,在不同的蝕刻疊代之間,這些因素其中一或多者可改變。例如,可選擇每一蝕刻疊代之持續時間,以沿著側壁之整個長度均勻地去除不需要的材料。在一些例子中,蝕刻疊代之持續時間可隨著另外的疊代而增加。在一些其它例子中,蝕刻疊代之持續時間可隨著另外的疊代而減少。在其它例子中,蝕刻疊代之持續時間可為相同的。在一些實施例中,關於執行之持續時間,對準相對較靠近特徵部頂部之不需要的材料之蝕刻疊代可短於對準相對較靠近特徵部底部之不需要的材料之不同的蝕刻疊代。在一些其它實施例中,關於執行之持續時間,對準相對較靠近特徵部頂部之不需要的材料之蝕刻疊代可長於對準相對較靠近特徵部底部之不需要的材料之不同的蝕刻疊代。在這些或其它例子中,基板及∕或基板支撐件之溫度可隨著另外的蝕刻疊代而增加或減少。在這些或其它例子中,反應腔室中之壓力(例如,在上腔室區域或下腔室區域內,若有使用的話)可隨著另外的蝕刻疊代而增加或減少。在這些或其它例子中,蝕刻反應物之流率可隨著另外的蝕刻疊代而增加或減少。在這些或其它例子中,用於產生感應耦合電漿之RF功率可隨著另外的蝕刻疊代而增加或減少。在這些或其它例子中,用於產生電容耦合電漿(若存在的話)之RF功率可隨著另外的蝕刻疊代而增加或減少。在一範例中,可僅使用感應耦合電漿來執行一蝕刻疊代,並且可使用感應耦合電漿及電容耦合電漿兩者來執行更早或更晚的蝕刻疊代。可改變這些處理變數,以對準在基板側壁上之適當區域處之蝕刻。然而,應當理解,在確定蝕刻對準之位置時,保護膜之存在∕位置也扮演著重要的角色。
用於選擇性蝕刻之方法及設備係進一步討論在以下的美國專利申請案中,其每一者之全部內容係合併在本文中做為參考:2015年11月11日所申請且發明名稱為「Ultrahigh Selective Polysilicon Etch with High Throughput」之美國專利申請案第14/938,635號;2015年9月21日所申請且發明名稱為「Systems and Methods for Ultrahigh Selective Nitride Etch」之美國專利申請案第15/271,381號;2016年2月3日所申請且發明名稱為「Systems and Methods for Selectively Etching Tungsten in a Downstream Reactor」之美國專利申請案第15/014,539號;2017年3月14日所申請且發明名稱為「Ultrahigh Selective Nitride Etch to Form FinFET Devices」之美國專利申請案第15/458,292號。 [沉積操作]
如關於圖2A及2B所述,執行沉積操作至少一次,並且可使沉積及∕或剝除操作循環任何次數。每一沉積操作沉積保護膜在凹陷特徵部之側壁之一部分上。保護膜形成在特徵部頂部之側壁上,並沿側壁向下延伸至特徵部中一段距離。此距離可能隨著沉積操作之另外的疊代而改變。換言之,可形成每一保護膜,俾使其到達一特定深度,此深度在整個蝕刻方法之過程中會改變。在圖2A之方法中,保護膜可在較早的疊代中相對淺地沉積,且在較晚的疊代中較深地沉積,如圖3A-3G所示。在圖2B之方法中,保護膜可在較早的疊代中相對深地沉積,並且在較晚的疊代中較淺地沉積,如圖4A-4H所示。應當了解,可能與這些一般趨勢有所偏離。通常,所形成之保護膜並非保形地覆蓋特徵部之側壁。相反的,保護膜是非保形的,在特徵部頂部附近具有最大厚度,並且隨著其延伸至特徵部中更深處而逐漸變薄。
在一些實施例中,沉積操作涉及傳送一或更多沉積反應物,以形成保護膜在特徵部之側壁上。在各種實施例中,保護膜為聚合物膜。聚合物膜可為基於氟碳化合物的膜(例如,氫氟碳化合物膜)。在蝕刻化學品為氟基之例子中,基於氟碳化合物的保護膜是特別有利的,因為此類的膜基本上耐受此蝕刻化學品。此外,使用下述之剝除方法可以容易地去除基於氟碳化合物的聚合物膜。在一些例子中,基於氟碳化合物的保護膜之沉積可使用CHx Fy 化學品,其中x> y。特定的示例性反應物包括CH4 及CH3 F。
亦可使用其它類型之保護膜。此類的膜可包括氧化物、矽氮化物、矽及烴基材料。在此類例子中,可選擇用於沉積保護膜之反應物以獲得期望的組成。
圖6B為流程圖,描述在凹陷特徵部之側壁之一部分上沉積保護膜之方法650。在652處,將基板配置在反應腔室中。反應腔室可能與用於側向蝕刻側壁之反應腔室相同,或其可為不同的反應腔室,例如特別用於沉積之反應腔室。在使用二或更多反應腔室(例如,沉積腔室及蝕刻腔室)以執行圖2A或2B之方法之例子中,方法可更包括在反應腔室之間轉移基板,如適當地。在反應腔室如關於圖5中所述之例子中,可提供基板在下腔室區域中,配置在基板支撐件上。在654處,將沉積氣體供應至反應腔室。沉積氣體包括至少一沉積反應物,並且亦可包括惰性氣體。在反應腔室包括上腔室區域及下腔室區域之例子中,如圖5所示,可將沉積氣體直接提供至下腔室區域、上腔室區域、或兩者。在656處,在反應腔室中觸發電漿一沉積期間。此導致保護膜沉積在特徵部之側壁上。電漿為電容耦合電漿。在反應腔室如關於圖5所述之例子中,電容耦合電漿可產生在基板所在之下腔室區域中。因此,基板直接暴露至電容耦合電漿。可藉由對基板支架施加偏壓以產生電漿,同時使氣體分配裝置(或格柵或其它結構)保持接地。偏壓可為AC偏壓,其可用於在負半偏壓週期期間,將帶正電的離子拉向基板。
在一些例子中,除了電容耦合電漿之外,亦可在沉積期間提供感應耦合電漿。例如,感應耦合電漿可產生在上腔室區域中,俾使其遠離基板。感應耦合電漿可由惰性氣體及∕或沉積反應物產生。在若干例子中,不使用感應耦合電漿來沉積保護膜。
取決於保護膜之組成,可使用若干不同的沉積機制。在各種例子中,使用氣相沉積。在一些實行例中,可藉由化學氣相沉積反應、原子層沉積反應、自組裝單層反應等以形成保護膜。
若干因素會影響保護膜之沉積。在一些例子中,在沉積期間,基板及∕或基板支撐件之溫度可維持在約0-110 °C之間,例如在約40-90 °C之間。反應腔室(或基板所在之反應腔室區域)中之壓力可在約0.05-1 Torr之間,例如在約0.1-0.5 Torr之間。用於產生電容耦合電漿之RF功率可在約13.56 MHz之頻率下在約50-1000 W之間,例如在約100-500 W之間。用於產生感應耦合電漿(若存在的話)之RF功率可在約100-2000 W之間,例如在約200-1000 W之間。沉積反應物之示例性流率可在約50-1000 sccm之間,例如在約100-500 sccm之間。進入反應腔室之物種(例如,包括沉積反應物、惰性物種及任何其它物種)之總流率可在約50-4000 sccm之間,例如在約100-1000 sccm之間。可以針對不同尺寸之基板及設備以及針對不同之反應物而調整這些流量。
在沉積不同保護膜之過程中(例如,在單一基板上之不同沉積疊代中),可控制及調節這些因素,以便控制保護膜之沉積深度。在一些例子中,可在第一基板溫度或基板支撐件溫度下形成第一保護膜,並且可在稍後的時間、在較高或較低之基板溫度或基板支撐件溫度下在同一基板上形成第二保護膜。在這些或其它例子中,可在第一壓力下形成第一保護膜,並且可在較高或較低之壓力下形成第二保護膜。在這些或其它例子中,可在沉積反應物之第一流率下形成第一保護膜,並且可在沉積反應物之較高或較低之流率下形成第二保護膜。在這些或其它例子中,可在將第一RF偏壓施加至基板支撐件以產生電容耦合電漿時形成第一保護膜,並且可在較高或較低之RF偏壓位準下形成第二保護膜。在這些或其它例子中,可在將第一RF功率施加至線圈以產生感應耦合電漿時形成第一保護膜,並且可在施加至線圈之較高或較低RF功率位準下形成第二保護膜。這些趨勢可以提供給另外的保護膜(例如,隨著保護膜形成之每一疊代,使用越來越高或越來越低之處理條件值)。一般而言,促進保護膜形成在特徵部中相對較深之因素包括:(1) 較低溫度、(2) 較低反應物通量、及 (3) 施加至基板支撐件之較高RF偏壓位準。藉由在各種沉積之過程中控制這些因素,保護膜之沉積(及因此,不需要的材料之去除)可以根據需要沿著側壁往上或往下進行,如圖3A-3G及4A-4H所示。 [剝除操作]
在若干實施例中,在整個蝕刻處理期間之某些時間點,將保護膜從側壁剝離。在一些例子中,可循環地去除及再沉積保護膜,如關於圖2B及4A-4H所述。在其它例子中,可省略剝除操作。在一些這樣的例子中,最終的蝕刻疊代可能足以去除保護膜,因而不需要執行單獨的剝除操作。
剝除操作可涉及使基板暴露至電漿,從而去除保護膜。在各種實施例中,電漿可由分子氧(O2 )產生。亦可提供另外的反應物及∕或惰性氣體。在保護膜為氟碳化合物膜(例如,氫氟碳化合物膜)之例子中,含氧電漿是特別有用的,但它們亦可用於去除各種其它的保護膜組成物。
在使用電漿以去除保護膜之一範例中,電漿可為感應耦合電漿及∕或電容耦合電漿。在用於處理基板之設備與圖5中所示之設備類似之例子中,可在上腔室區域中產生感應耦合電漿(若存在的話),並且可在下腔室區域中產生電容耦合電漿(若存在的話)。在其它例子中,可在缺少分離的上腔室區域與下腔室區域之反應腔室中形成感應耦合電漿及∕或電容耦合電漿。在使用感應耦合電漿之例子中,用於產生感應耦合電漿之RF功率可在約200-2000 W之間。在使用電容耦合電漿之例子中,用於產生電容耦合電漿之RF功率可在約50-500 W之間。這些功率位準係假設單一300 mm直徑基板存在反應腔室中,並且可根據其它尺寸之基板加以縮放。在剝除期間,基板及∕或基板溫度可維持在約-10與110 °C之間。在剝除期間,反應腔室中(例如,在下腔室區域中,若下腔室區域存在的話)之壓力可在約0.5-3 Torr之間。反應物之流率可在約200-5000 sccm之間。每一剝除操作之持續時間可在約10-60秒之間。
亦可使用其它處理以剝離保護膜。這類處理可利用替代的含氧物種(例如,二氧化碳(CO2 )、氧化亞氮(N2 O)、一氧化氮(NO))、及∕或可使用稀釋劑物種(例如,氮氣(N2 )、氧化亞氮(N2 O)、氬(Ar)、氦(He)、元素氫(H2 )等)以稀釋含氧物種。
在一些實施例中,去除保護膜之剝除操作可在用於執行側壁之側向蝕刻及保護膜之沉積之相同反應腔室中執行。在此例子中,圖2A及2B之方法之每一者可完全在單一反應腔室中執行。在另一實施例中,蝕刻及沉積係在個別的反應腔室中執行,且剝除操作係在用於蝕刻基板之反應腔室、或用於沉積保護膜之反應腔室中執行。在此例子中,圖2A及2B之方法之每一者可使用兩個不同的反應腔室執行。在又另一實施例中,蝕刻、沉積及剝除皆在個別的反應腔室中執行。在此例子中,圖2A及2B之方法之每一者可使用三個不同的反應腔室執行。在使用超過一反應腔室以處理單一基板之例子中,圖2A及2B之方法可更包括,根據需要而在不同的反應腔室之間轉移基板。設備
本文中所述之方法可由任何合適的設備執行。合適的設備包括用於完成處理操作之硬體、及具有用於控制根據本實施例之處理操作之指令之系統控制器。例如,在一些實施例中,硬體可包括在處理工具中之一或更多處理站。
現在參考圖5,顯示了根據本揭示內容之用於相對於第二材料而選擇性地蝕刻第一材料之基板處理腔室500之範例。雖然顯示且描述了特定的基板處理腔室,但是本文中所述之方法可在其它類型之基板處理系統上實現。在一些範例中,基板處理腔室500包括遠端(例如,在基板之上游)感應耦合電漿(ICP)源。可提供可選的電容耦合電漿(CCP)源。
基板處理腔室500包括下腔室區域502及上腔室區域504。下腔室區域502由腔室側壁表面508、腔室底部表面510及氣體分配裝置514之下表面所界定。在一些範例中,省略了氣體分配裝置514。
上腔室區域504由氣體分配裝置514之上表面及上腔室壁518之內表面(例如圓頂形腔室)所界定。在一些範例中,上腔室壁518放置在第一環形支撐件521上。在一些範例中,第一環形支撐件521包括一或更多氣體流動通道及∕或孔523,用於將處理氣體傳送至上腔室區域504,如以下進一步所述。氣體流動通道及∕或孔523可圍繞上腔室區域504之周緣而均勻地分隔開。在一些範例中,處理氣體藉由一或更多氣體流動通道及∕或孔523在朝上的方向上、相對於包括氣體分配裝置514之平面成銳角而傳送,但可使用其它角度∕方向。在一些範例中,在第一環形支撐件521中之氣室534供應氣體至一或更多分隔開的氣體流動通道及∕或孔523。
第一環形支撐件521可放置在第二環形支撐件525上,第二環形支撐件525界定出一或更多氣體流動通道及∕或孔527,用於將處理氣體傳送至下腔室區域502。在一些範例中,在氣體分配裝置514中之孔531與氣體流動通道及∕或孔527對準。在其它範例中,氣體分配裝置514具有較小之直徑,並且不需要孔531。在一些範例中,處理氣體藉由一或更多分隔開的氣體流動通道及∕或孔527在朝下的方向上、相對於包括氣體分配裝置514之平面成銳角而傳送朝向基板,但可使用其它角度∕方向。
在其它範例中,上腔室區域504為圓柱形,具有平坦的頂表面,並且可使用一或更多平坦的感應線圈。在又一其它範例中,單一腔室可與位於噴淋頭與基板支撐件之間之間隔件一起使用。
基板支撐件522配置在下腔室區域502中。在一些範例中,基板支撐件522包括靜電夾盤(ESC),但是可使用其它類型之基板支撐件。在蝕刻期間,基板526係配置在基板支撐件522之上表面上。在一些範例中,基板526之溫度可由加熱板541、具有流體通道之可選的冷卻板及一或更多感測器(未顯示)加以控制,但可使用任何其它合適的基板支撐件溫度控制系統。在一些範例中,溫度控制器543可用於控制基板支撐件522之加熱及冷卻。加熱可由加熱板541執行,冷卻可由具有流體通道545之冷卻板執行。
溫度控制器547可用於藉由供應加熱∕冷卻流體至氣體分配裝置514中之氣室而控制氣體分配裝置514之溫度。溫度控制器543及∕或547可更包括流體源、泵、控制閥及溫度感測器(均未顯示)。
在一些範例中,氣體分配裝置514包括噴淋頭(例如,具有複數分隔開的孔529之板528)。複數分隔開的孔59從板528之上表面延伸至板528之下表面。在一些範例中,分隔開的孔529之直徑在從0.1” 至0.75” 之範圍內,噴淋頭由導電材料(例如,鋁)、或具有由導電材料製成之嵌入電極之非導電材料(例如,陶瓷)所製成。在進一步描述於下之其它範例中,可使用較小的孔529,以增加表面與體積比。
一或更多感應線圈540係配置為圍繞上腔室壁518之外部。當通電時,一或更多感應線圈540在上腔室壁518內部產生電磁場。在一些範例中,使用上線圈及下線圈。氣體注入器542將一或更多氣體混合物從氣體傳送系統550-1注入上腔室區域504中。
在一些範例中,氣體傳送系統550-1包括一或更多氣體源552、一或更多閥554、一或更多質量流量控制器(MFC)556、及混合歧管558,但亦可使用其它類型之氣體傳送系統。氣體分流器(未顯示)可用於改變氣體混合物之流率。另一氣體傳送系統550-2可用於將蝕刻氣體、調節氣體、吹淨氣體或其它氣體混合物供應至氣體流動通道及∕或孔523及∕或527(除了或代替來自氣體注入器542之蝕刻氣體)。
合適的氣體傳送系統係顯示及描述於2015年12月4日所申請且發明名稱為「Gas Delivery System」之共同受讓的美國專利申請案第14/945,680號中,其全部內容係合併在本文中做為參考。合適的單一或雙重氣體注入器及其它氣體注入位置係顯示及描述於2016年1月7日所申請且發明名稱為「Substrate Processing System with Multiple Injection Points and Dual Injector」之共同受讓的美國臨時專利申請案第62/275,837號中,其全部內容係合併在本文中做為參考。
在一些範例中,氣體注入器542包括引導氣體在朝下方向之中央注入位置、以及相對於朝下方向以一角度注入氣體之一或更多側注入位置。在一些範例中,氣體傳送系統550-1以第一流率將氣體混合物之第一部分傳送至氣體注入器542之中央注入位置,並且以第二流率將氣體混合物之第二部分傳送至氣體注入器542之側注入位置。在其它範例中,不同的氣體混合物由氣體注入器542所傳送。在一些範例中,氣體傳送系統550-2將調節氣體傳送至氣體流動通道及∕或孔523及527及∕或處理腔室中之其它位置,如下所述。例如,氣體傳送系統550-2亦可將氣體傳送至氣體分配裝置514中之氣室。
電漿產生器570可用於產生 RF功率,以輸出至一或更多感應線圈540。電漿590係產生在上腔室區域504中。在一些範例中,電漿產生器570包括RF產生器572。匹配網絡574將RF產生器572之阻抗與一或更多感應線圈540之阻抗進行匹配。在一些範例中,氣體分配裝置514連接至參考電位,例如接地。閥578及泵580可用於控制下腔室區域502及上腔室區域504內之壓力並抽空反應物。
控制器576與氣體傳送系統550-1及550-2、閥578、泵580及∕或電漿產生器570通信,以控制處理氣體、吹淨氣體、調節氣體之流動、RF電漿及腔室壓力。在一些範例中,藉由一或更多感應線圈540以在上腔室壁518內維持電漿。使用氣體注入器542(及∕或氣體流動通道及∕或孔523)以從腔室之頂部引入一或更多氣體混合物,並且使用氣體分配裝置514以將電漿侷限在上腔室壁518內。
將電漿侷限在上腔室壁518中使得電漿物種得以進行體積復合(volume recombination) ,並且使得想要的蝕刻劑物種得以透過氣體分配裝置514而流出。在一些範例中,沒有RF偏壓施加至基板526。因此,在基板526上沒有活性鞘層,且離子不以任何有限的能量撞擊基板。某些量之離子將通過氣體分配裝置514而擴散出電漿區域。然而,擴散之電漿量比位於上腔室壁518內之電漿低一個數量級。電漿中之大多數離子是由於高壓下之體積復合而損失。在氣體分配裝置514之上表面處之表面複合損失亦降低了在氣體分配裝置514下方之離子密度。
在一些範例中,提供RF偏壓產生器584,其包括RF產生器586及匹配網絡588。RF偏壓可用於在氣體分配裝置514與基板支撐件之間產生電漿、或在基板526上產生自偏壓以吸引離子。控制器576可用於控制RF偏壓。
在一些實行例中,控制器為系統之一部分,其可為上述範例之一部分。這樣的系統可包括半導體處理設備,包括一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理元件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,控制器可控制一系統或複數系統之各種元件或子部分。根據處理條件及∕或系統類型,控制器可被程式化,以控制本文中所揭示的任何處理,包括處理氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,控制器可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,控制器可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,使得晶圓處理之遠端控制得以進行。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路相連通,而結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之處理步驟,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具元件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
上述之各種硬體及方法實施例可與,例如,用於加工或製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具∕處理會在一共同的製造廠房中一起使用或進行。
膜之微影圖案化通常包括下列步驟之一些或全部,每一步驟以幾個可能的工具而提供:(1) 在工作件(例如,具有矽氮化物膜形成於其上之基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或其它合適的固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台或噴塗顯影器)選擇性地去除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)去除光阻。在一些實施例中,在塗佈光阻之前,可沉積可灰化的硬遮罩層(例如,非晶碳層)及另一合適的硬遮罩(例如,抗反射層)。
應當瞭解,本文中所述之配置及∕或方法在本質上為示例性的,這些特定的實施例或範例不應被視為是限制性的,因為可能有各種變化。本文中所述之特定程序或方法可代表任何數目之處理策略其中一或多者。因此,所述之各種動作可以所述之順序、以其它順序、以平行方式進行,或在某些例子中加以省略。類似地,上述處理之順序可加以改變。某些文件已經併入本文中做為參考資料。應當瞭解,在此類文件中所做出之任何放棄聲明或否定聲明不必然適用於本文中所述之實施例。類似地,在此類文件中描述為必要之任何特徵可能在本文之實施例中被省略。
本揭示內容之標的包括在本文中所揭示之各種處理、系統及構造、以及其它特徵、功能、動作、及∕或特性之所有新穎及非顯而易見的組合與次組合,以及其任何及所有的均等物。
102‧‧‧第一材料
103‧‧‧第二材料
104‧‧‧特徵部
105‧‧‧凹穴
106‧‧‧襯墊
107‧‧‧材料
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
211‧‧‧操作
221‧‧‧操作
223‧‧‧操作
225‧‧‧操作
227‧‧‧操作
229‧‧‧操作
331‧‧‧操作
302‧‧‧第一材料
303‧‧‧第二材料
304‧‧‧特徵部
306‧‧‧襯墊
308‧‧‧材料
309‧‧‧材料
310‧‧‧保護膜
402‧‧‧第一材料
403‧‧‧第二材料
404‧‧‧特徵部
406‧‧‧襯墊
408‧‧‧材料
409‧‧‧材料
410‧‧‧保護膜
500‧‧‧基板處理腔室
502‧‧‧下腔室區域
504‧‧‧上腔室區域
508‧‧‧腔室側壁表面
510‧‧‧腔室底部表面
514‧‧‧氣體分配裝置
518‧‧‧上腔室壁
521‧‧‧第一環形支撐件
523‧‧‧氣體流動通道及∕或孔
525‧‧‧第二環形支撐件
526‧‧‧基板
527‧‧‧氣體流動通道及∕或孔
528‧‧‧板
529‧‧‧孔
531‧‧‧孔
534‧‧‧氣室
540‧‧‧感應線圈
541‧‧‧加熱板
542‧‧‧氣體注入器
543‧‧‧溫度控制器
545‧‧‧流體通道
547‧‧‧溫度控制器
550-1,550-2‧‧‧氣體傳送系統
552‧‧‧氣體源
554‧‧‧閥
556‧‧‧質量流量控制器(MFC)
558‧‧‧混合歧管
570‧‧‧電漿產生器
572‧‧‧RF產生器
574‧‧‧匹配網路
576‧‧‧控制器
578‧‧‧閥
580‧‧‧泵
584‧‧‧RF偏壓產生器
586‧‧‧RF產生器
588‧‧‧匹配網路
590‧‧‧電漿
600‧‧‧方法
610‧‧‧操作
614‧‧‧操作
618‧‧‧操作
622‧‧‧操作
628‧‧‧操作
632‧‧‧操作
634‧‧‧操作
650‧‧‧方法
652‧‧‧操作
654‧‧‧操作
656‧‧‧操作
702‧‧‧第一材料
703‧‧‧第二材料
704‧‧‧特徵部
706‧‧‧襯墊
708‧‧‧材料
709‧‧‧材料
710‧‧‧保護膜
圖1A-1F說明在不同處理步驟之過程中之部分製造的半導體基板。
圖1G及1H為曲線圖,描述在凹陷特徵部內之不同位置處之蝕刻劑濃度,其中圖1G對應於在側壁上沒有保護膜之例子,圖1H對應於在側壁上有保護膜之例子。
圖2A為流程圖,描述根據某些實施例之側向蝕刻高深寬比特徵部之方法,首先從特徵部頂部去除材料,然後從特徵部底部去除材料。
圖2B為流程圖,描述根據某些實施例之側向蝕刻高深寬比特徵部之方法,首先從特徵部底部去除材料,然後從特徵部頂部去除材料。
圖3A-3G說明在圖2A所述之不同處理步驟之過程中之部分製造的半導體基板。
圖4A-4H說明在圖2B所述之不同處理步驟之過程中之部分製造的半導體基板。
圖5為功能方塊圖,顯示根據某些實施例之可用於如本文所述之蝕刻及沉積之示例性設備。
圖6A為流程圖,描述根據某些實施例之側向蝕刻凹陷特徵部之側壁之方法。
圖6B為流程圖,描述根據某些實施例之在凹陷特徵部之側壁上沉積保護膜之方法。
圖7A-7K描繪出根據一實施例之不同處理步驟之過程中之部分製造的半導體基板,其中控制每一蝕刻操作以達成特定的蝕刻量,俾使所得到的側壁是非垂直的。
圖7L-7N說明根據某些實施例之具有不同側壁輪廓之已蝕刻的特徵部。

Claims (22)

  1. 一種從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,該方法包括: (a) 藉由使該基板暴露至一蝕刻電漿以執行一蝕刻操作,該蝕刻電漿包括一遠端產生的感應耦合電漿,該遠端產生的感應耦合電漿包括一蝕刻反應物,其中該蝕刻操作從該特徵部之該側壁之一部分側向蝕刻該不需要的材料; (b) 藉由使該基板暴露至一沉積電漿以執行一沉積操作,該沉積電漿包括一電容耦合電漿,該電容耦合電漿包括一沉積反應物,其中該沉積操作形成一保護膜在該特徵部之該側壁之一第二部分上,其中該保護膜係非保形的,俾使其在該側壁之頂部附近係最厚的,且並非一直延伸至該側壁之底部;及 (c) 使步驟 (a) 之該蝕刻操作及步驟 (b) 之該沉積操作循環進行,直到該不需要的材料沿著該特徵部之整個側壁被側向蝕刻,其中步驟 (a) 之複數不同疊代從該特徵部之該側壁之複數不同的部分側向蝕刻該不需要的材料,其中步驟 (b) 之複數不同疊代沉積該保護膜在該特徵部之該側壁之複數不同的第二部分上,及其中在步驟 (a) 之該蝕刻操作之至少一疊代期間,被側向蝕刻之該側壁之該部分係在步驟 (b) 之一先前疊代中沉積之該保護膜所覆蓋之該側壁之該第二部分之正下方。
  2. 如申請專利範圍第1項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (a) 中之該蝕刻操作之一第一疊代係在步驟 (b) 中之該沉積操作之一第一疊代之前執行,俾使步驟 (a) 之該第一疊代被執行而沒有該保護膜在該側壁上,其中在步驟 (a) 之該第一疊代中被側向蝕刻之該側壁之該部分係該側壁之一頂部部分。
  3. 如申請專利範圍第2項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (b) 中之該沉積操作之該第一疊代係形成該保護膜在與在步驟 (a) 中之該蝕刻操作之該第一疊代中被側向蝕刻之該側壁之該相同部分上。
  4. 如申請專利範圍第3項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (a) 中之該蝕刻操作之一第二疊代係在步驟 (b) 中之該沉積操作之該第一疊代之後執行,其中相較於在步驟 (a) 之該第一疊代中被側向蝕刻之該側壁之該部分,在步驟 (a) 之該第二疊代中被側向蝕刻之該側壁之該部分係在該特徵部中較深處。
  5. 如申請專利範圍第2項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (b) 中之該沉積操作之每一疊代中形成該保護膜於其上之該側壁之該第二部分包括在步驟 (a) 中之該蝕刻操作之一緊接的先前疊代中該不需要的材料被去除處之該側壁之該部分,俾使該保護膜總是形成在步驟 (b) 中,以覆蓋在步驟 (a) 之一先前疊代中剛剛被蝕刻之該側壁之該部分。
  6. 如申請專利範圍第2項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該不需要的材料係由該側壁之該頂部至該側壁之該底部被去除,以該順序,執行步驟 (a) 及步驟 (b) 之另外的疊代。
  7. 如申請專利範圍第6項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該保護膜被形成,俾使當執行步驟 (b) 之另外的疊代時,該保護膜沿著該側壁而逐漸地到達更深處。
  8. 如申請專利範圍第7項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (b) 之不同疊代中使用不同組之沉積條件以形成該保護膜。
  9. 如申請專利範圍第8項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (b) 之不同疊代中之該等不同組之沉積條件在至少一變數上係彼此不同的,該至少一變數係選自於由基板支撐件溫度、壓力、該沉積反應物之流率、及用於產生該電容耦合電漿之RF功率所組成之群組。
  10. 如申請專利範圍第1項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中在步驟 (b) 中之該沉積操作之一第一疊代係在步驟 (a) 中之該蝕刻操作之一第一疊代之前執行,俾使步驟 (a) 之該第一疊代被執行時,該保護膜存在該側壁上。
  11. 如申請專利範圍第10項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該不需要的材料係由該側壁之該底部至該側壁之該頂部被去除,以該順序,執行步驟 (a) 及步驟 (b) 之另外的疊代。
  12. 如申請專利範圍第11項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該保護膜被形成,俾使當執行步驟 (b) 之另外的疊代時,該保護膜沿著該側壁而逐漸地到達較淺處。
  13. 如申請專利範圍第11項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,更包括在步驟 (a) 中之該蝕刻操作之每一疊代之後、及在步驟 (b) 中之該沉積操作之一隨後疊代中沉積該保護膜之前,從該側壁剝除該保護膜,其中剝除該保護膜包括使該基板暴露至包括氧之一剝除電漿。
  14. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該保護膜係一基於氫氟碳化合物的聚合物膜。
  15. 如申請專利範圍第14項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該蝕刻反應物產生氟自由基。
  16. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該不需要的材料包括一金屬。
  17. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該不需要的材料係多晶矽。
  18. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該不需要的材料係矽氮化物。
  19. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中步驟 (a) 及步驟 (b)在同一反應腔室中發生,該反應腔室包括: 一下腔室區域及一上腔室區域,由一氣體分配裝置所隔開; 一感應耦合電漿源,在該上腔室區域中產生該感應耦合電漿;及 一電容耦合電漿源,在該下腔室區域中產生該電容耦合電漿。
  20. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中步驟 (a) 及步驟 (b)在不同的反應腔室中發生,該方法更包括在用於執行步驟 (a) 及步驟 (b) 所需之不同的反應腔室之間轉移該基板。
  21. 如申請專利範圍第1至13項其中任一項之從基板上之特徵部之側壁側向蝕刻不需要的材料之方法,其中該特徵部係形成在一堆疊中,該堆疊包括一第一堆疊材料及一第二堆疊材料之複數交替層,其中在步驟 (a) 中之該蝕刻操作使該第一堆疊材料及該第二堆疊材料其中至少一者外露,及其中在步驟 (a) 中之該蝕刻操作係選擇性的,俾使相較於該第一堆疊材料及該第二堆疊材料,該不需要的材料被優先去除。
  22. 一種從基板上之特徵部之側壁側向蝕刻不需要的材料之設備,該設備包括: 一反應腔室,包括一下腔室區域及一上腔室區域; 一氣體分配裝置,將該下腔室區域與該上腔室區域分隔開; 一感應耦合電漿源,在該上腔室區域中產生一感應耦合電漿; 一電容耦合電漿源,在該下腔室區域中產生一電容耦合電漿; 一第一入口,用於將氣態反應物傳送至該上腔室區域; 一第二入口,用於將氣態反應物傳送至該下腔室區域; 一出口,用於從該下腔室區域去除氣態材料;及 一控制器, (a) 執行一蝕刻操作,當該基板放置在該下腔室區域中時,藉由在該上腔室區域中產生一感應耦合蝕刻電漿以執行該蝕刻操作,該感應耦合蝕刻電漿包括一蝕刻反應物,其中該蝕刻操作從該特徵部之該側壁之一部分側向蝕刻該不需要的材料; (b) 執行一沉積操作,當該基板放置在該下腔室區域中時,藉由在該下腔室區域中產生一電容耦合沉積電漿以執行該沉積操作,該電容耦合沉積電漿包括一沉積反應物,其中該沉積操作形成一保護膜在該特徵部之該側壁之一第二部分上,其中該保護膜係非保形的,俾使其在該側壁之頂部附近係最厚的,且並非一直延伸至該側壁之底部;及 (c) 使步驟 (a) 之該蝕刻操作及步驟 (b) 之該沉積操作循環進行,直到該不需要的材料沿著該特徵部之整個側壁被側向蝕刻,其中步驟 (a) 之複數不同疊代從該特徵部之該側壁之複數不同的部分側向蝕刻該不需要的材料,其中步驟 (b) 之複數不同疊代沉積該保護膜在該特徵部之該側壁之複數不同的第二部分上,及其中在步驟 (a) 之該蝕刻操作之至少一疊代期間,被側向蝕刻之該側壁之該部分係在步驟 (b) 之一先前疊代中沉積之該保護膜所覆蓋之該側壁之該第二部分之正下方。
TW107126300A 2017-08-02 2018-07-30 使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻 TW201921484A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/667,551 2017-08-02
US15/667,551 US10276398B2 (en) 2017-08-02 2017-08-02 High aspect ratio selective lateral etch using cyclic passivation and etching

Publications (1)

Publication Number Publication Date
TW201921484A true TW201921484A (zh) 2019-06-01

Family

ID=65230386

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126300A TW201921484A (zh) 2017-08-02 2018-07-30 使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻

Country Status (7)

Country Link
US (2) US10276398B2 (zh)
JP (1) JP7210538B2 (zh)
KR (1) KR102574582B1 (zh)
CN (1) CN110998804A (zh)
SG (1) SG11202000849UA (zh)
TW (1) TW201921484A (zh)
WO (1) WO2019027811A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532484B2 (en) 2018-10-26 2022-12-20 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching
TW202117847A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理
JP7496725B2 (ja) 2020-07-20 2024-06-07 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US20220181160A1 (en) * 2020-12-09 2022-06-09 Applied Materials, Inc. Methods and apparatus for in-situ protection of etched surfaces
US12004346B2 (en) 2021-03-12 2024-06-04 Micron Technology, Inc. Microelectronic devices with nitrogen-rich insulative structures
JP7498369B2 (ja) 2022-04-26 2024-06-11 株式会社日立ハイテク プラズマ処理方法
WO2024044498A1 (en) * 2022-08-25 2024-02-29 6K Inc. Plasma apparatus and methods for processing feed material utilizing a powder ingress preventor (pip)
US20240120210A1 (en) * 2022-10-11 2024-04-11 Applied Materials, Inc. Isotropic silicon nitride removal
CN117438299B (zh) * 2023-12-21 2024-03-29 浙江集迈科微电子有限公司 Iii-v族化合物半导体材料的刻蚀方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
KR20010042419A (ko) 1998-04-02 2001-05-25 조셉 제이. 스위니 낮은 k 유전체를 에칭하는 방법
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040077178A1 (en) 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
DE10308888B4 (de) * 2003-02-28 2006-12-28 Infineon Technologies Ag Anordnung von Kondensatoren zur Erhöhung der Speicherkapazität in einem Halbleitersubstrat und Verfahren zur Herstellung einer Anordnung
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4522795B2 (ja) 2003-09-04 2010-08-11 株式会社日立ハイテクノロジーズ 真空処理装置
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR20060030717A (ko) 2004-10-06 2006-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4537878B2 (ja) 2005-04-01 2010-09-08 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR101167195B1 (ko) 2005-11-01 2012-07-31 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
CN102007570B (zh) 2007-12-21 2013-04-03 朗姆研究公司 用高蚀刻速率抗蚀剂掩膜进行蚀刻
CN102318037B (zh) 2007-12-21 2014-03-05 朗姆研究公司 利用arc层打开的cd偏置负载控制
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
JP5285403B2 (ja) 2008-04-15 2013-09-11 東京エレクトロン株式会社 真空容器およびプラズマ処理装置
KR100875180B1 (ko) 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
JP5782226B2 (ja) 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012023164A (ja) 2010-07-14 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置
JP5375763B2 (ja) * 2010-07-27 2013-12-25 三菱電機株式会社 プラズマ装置およびこれを用いた半導体薄膜の製造方法
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
JP2013229351A (ja) 2012-04-24 2013-11-07 Hitachi High-Technologies Corp ドライエッチング方法
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
KR101881857B1 (ko) * 2012-08-27 2018-08-24 삼성전자주식회사 계단형 패턴 형성 방법
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20170014036A (ko) 2015-07-28 2017-02-08 삼성전자주식회사 반도체 장치
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532484B2 (en) 2018-10-26 2022-12-20 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
SG11202000849UA (en) 2020-02-27
JP2020529732A (ja) 2020-10-08
US10276398B2 (en) 2019-04-30
CN110998804A (zh) 2020-04-10
KR102574582B1 (ko) 2023-09-04
JP7210538B2 (ja) 2023-01-23
KR20200027568A (ko) 2020-03-12
US11011388B2 (en) 2021-05-18
WO2019027811A1 (en) 2019-02-07
US20190206697A1 (en) 2019-07-04
US20190043732A1 (en) 2019-02-07

Similar Documents

Publication Publication Date Title
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US9991128B2 (en) Atomic layer etching in continuous plasma
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US10615169B2 (en) Selective deposition of SiN on horizontal surfaces
US10580657B2 (en) Device fabrication via pulsed plasma
US20160181116A1 (en) Selective nitride etch
KR20170092113A (ko) 하드마스크의 자기-제한된 평탄화
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料