JP7210538B2 - 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング - Google Patents

周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング Download PDF

Info

Publication number
JP7210538B2
JP7210538B2 JP2020505402A JP2020505402A JP7210538B2 JP 7210538 B2 JP7210538 B2 JP 7210538B2 JP 2020505402 A JP2020505402 A JP 2020505402A JP 2020505402 A JP2020505402 A JP 2020505402A JP 7210538 B2 JP7210538 B2 JP 7210538B2
Authority
JP
Japan
Prior art keywords
etching
protective film
sidewall
iteration
sidewalls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020505402A
Other languages
English (en)
Other versions
JP2020529732A (ja
Inventor
イーソン・クワメ
パク・ピリョン
カワグチ・マーク・ナオシ
パク・ソン-ホ
チャン・シャオ-ウェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020529732A publication Critical patent/JP2020529732A/ja
Application granted granted Critical
Publication of JP7210538B2 publication Critical patent/JP7210538B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

[関連出願の相互参照)
本出願は、全ての目的のために本明細書に組み入れられる、2017年8月2日に提出された、名称を「HIGH ASPECT RATIO SELECTIVE LATERAL ETCH USING CYCLIC PASSIVATION AND ETCHING(周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング)」とする米国特許出願15/667,551号に基づく優先権の利益を主張する。
さまざまな半導体処理方式では、材料の積層の中に高アスペクト比の特徴がエッチングされる。例示的適用例は、DRAM素子および3D NAND素子の製造などのメモリ適用例を含むがそれらに限定されない。多くの場合、積層は、誘電体材料を含み、酸化物および窒化物、または酸化物およびポリシリコンなどの材料からなる交互層を含んでよい。高アスペクト比の特徴を(たとえば、凹状円柱、トレンチなどを形成するために)エッチングした後、選択的エッチング処理を行って、積層内の材料の1つをエッチバックする。いくつかの事例では、この選択的エッチング後、ライナ材料を堆積させてよい。次いで、選択的にエッチバックした部位の範囲内を含み、特徴の側壁に沿って材料(たとえば、多くの事例では、金属、ポリシリコン、または誘電体)を堆積させる。次いで、この材料は、事前に選択的にエッチバックされた各領域内に堆積した材料を電気的に絶縁するために取り除かれなければならない。この処理方式について、図1A~図1Eを参照して以下でさらに論じる。
本明細書のさまざまな実施形態は、半導体基板から不要な材料をエッチングするための方法、装置、およびシステムに関する。多くの例では、不要な材料は、半導体基板上の凹状特徴の側壁から横方向にエッチングされる。エッチングは、段階的に行われてよく、側壁の一部分の全域にわたって保護フィルムを堆積させる堆積操作と共に反復される。この保護フィルムは、側壁のうち覆われた部分をオーバーエッチングから保護し、後段のエッチング操作の間、特徴のさらに下方へエッチング反応物を供給することを促進する。いくつかの実施形態では、不要な材料は、側壁の最上部から側壁の最下部に向かって特徴から取り除かれる。他の実施形態では、この順序は逆になり、不要な材料は、側壁の最下部から側壁の最上部に向かって特徴から取り除かれる。
開示する実施形態の一様態では、基板上の特徴の側壁から不要な材料を横方向にエッチングする方法である。方法は、(a)エッチングプラズマに基板を暴露することによりエッチング操作を実行し、エッチングプラズマは、エッチング反応物を含む、遠隔で発生させられた誘導結合プラズマを含み、エッチング操作は、特徴の側壁の一部分から不要な材料を横方向にエッチングし、(b)堆積プラズマに基板を暴露することにより堆積操作を実行し、堆積プラズマは、堆積反応物を含む容量結合プラズマを含み、堆積操作は、特徴の側壁の第2の部分の全域にわたって保護フィルムを形成し、保護フィルムは、側壁の最上部近くで最も厚く、かつ側壁の最下部に至るまで延伸しないように非共形あり、(c)不要な材料が特徴の側壁全体に沿って横方向にエッチングされるまで、(a)のエッチング操作および(b)の堆積操作を反復し、(a)の異なる反復は、特徴の側壁の異なる部分から不要な材料を横方向にエッチングし、(b)の異なる反復は、特徴の側壁の異なる第2の部分の全域にわたって保護フィルムを堆積させ、(a)でのエッチング操作の少なくとも1つの反復の間、横方向にエッチングされる側壁の部分は、(b)の先行する反復で堆積させられた保護フィルムにより覆われる側壁の第2の部分の真下にある。
いくつかの実施形態では、(a)におけるエッチング操作の第1の反復は、(a)の第1の反復が側壁上の保護フィルムなしで実行されるように、(b)での堆積操作の第1の反復の前に実行され、(a)の第1の操作において横方向にエッチングされる側壁の部分は、側壁の最上部部分である。いくつかのそのような事例では、(b)における堆積操作の第1の反復は、(a)におけるエッチング操作の第1の反復において横方向にエッチングされた側壁の同じ部分上に保護フィルムを形成する。(a)におけるエッチング操作の第2の反復は、(b)での堆積操作の第1の反復の後に実行されてよく、(a)の第2の反復で横方向にエッチングされる側壁の部分は、(a)の第1の反復において横方向にエッチングされる側壁の部分と比較して特徴内でより深くまで達してよい。これらまたは他の事例では、保護フィルムが(b)における堆積操作の各反復で形成される側壁の第2の部分は、不要な材料が(a)でのエッチング操作の直前の反復で取り除かれる側壁の部分を含んでよく、その結果、保護フィルムは、(a)の先行する反復でエッチングされたばかりの側壁の部分を覆うように、(b)で常に形成される。
ある種の実装形態では、不要な材料は、(a)および(b)の追加の反復を実行する際、側壁の最上部から側壁の最下部に向かう順序で取り除かれる。いくつかの実施形態では、保護フィルムは、(b)の追加の反復を実行する際、側壁に沿って次第に深く到達するように形成される。いくつかのそのような例では、保護フィルムは、(b)の異なる反復において異なる堆積条件のセットを使用して形成される。一例では、(b)の異なる反復における異なる堆積条件のセットは、基板支持物温度、圧力、堆積反応物の流量、および容量結合プラズマを発生させるために使用するRF出力からなるグループから選択される少なくとも1つの変数に関して互いに変化する。
別の実施形態では、(b)における堆積操作の第1の反復は、保護フィルムが側壁上に存在する間に(a)の第1の反復が実行されるように、(a)におけるエッチング操作の第1の反復の前に実行される。いくつかの実装形態では、不要な材料は、(a)および(b)の追加の反復を実行する際、側壁の最下部から側壁の最上部に向かう順序で取り除かれる。これらまたは他の実装形態では、保護フィルムは、(b)の追加の反復を実行する際、側壁に沿って次第に浅く到達するように形成されてよい。いくつかの事例では、方法は、さらに、(a)におけるエッチング操作の各反復後であって、かつ(b)における堆積操作の次の反復で保護フィルムを堆積させる前に、側壁から保護フィルムを剥離し、保護フィルムの剥離は、酸素を含む剥離プラズマに基板を暴露する。
ある種の実施形態では、保護フィルムは、ヒドロフルオロカーボンに基づく高分子フィルムである。いくつかの他の事例では、保護フィルムは、ケイ素、酸化ケイ素、または窒化ケイ素である。エッチング反応物は、さまざまな事例でフッ素ラジカルを作り出すことがある。ある種の実装形態では、不要な材料は、金属を含む。金属は、元素金属であってよい。いくつかの事例では、元素金属は、タングステンである。いくつかの他の実装形態では、不要な材料は、ポリシリコンである。いくつかの他の実装形態では、不要な材料は、窒化ケイ素である。
いくつかの事例では、(a)および(b)は、同じ反応チャンバ内で行われ、反応チャンバは、ガス分配機器により分離された下部チャンバ領域および上部チャンバ領域と、上部チャンバ領域内で誘導結合プラズマを発生させる誘導結合プラズマ源と、下部チャンバ領域内で容量結合プラズマを発生させる容量結合プラズマ源とを含む。いくつかの他の事例では、(a)および(b)は、異なる反応チャンバ内で行われ、方法は、さらに、(a)および(b)の実行に伴い、異なる反応器の間で基板を移送する。
ある種の実装形態では、特徴は、第1の積層材料および第2の積層材料からなる交互層を含む積層の形で形成され、(a)でのエッチング操作は、第1の積層材料および第2の積層材料のうち少なくとも一方を暴露し、(a)におけるエッチング操作は、第1の積層材料および第2の積層材料と比較して不要な材料が優先的に取り除かれるように、選択的である。
本明細書の実施形態の別の様態では、基板上の特徴の側壁から不要な材料を横方向にエッチングするための装置が提供される。装置は、下部チャンバ領域および上部チャンバ領域を含む反応チャンバと、上部チャンバ領域から下部チャンバ領域を分離するガス分配機器と、上部チャンバ領域内で誘導結合プラズマを発生させる誘導結合プラズマ源と、下部チャンバ領域内で容量結合プラズマを発生させる容量結合プラズマ源と、上部チャンバ領域に気相反応物を供給するための第1の入口と、下部チャンバ領域に気相反応物を供給するための第2の入口と、下部チャンバ領域から気相材料を取り除くための出口と、コントローラとを含み、コントローラは、(a)基板を下部チャンバ領域内に配置する間、上部チャンバ領域内のエッチング反応物を含む誘導結合エッチングプラズマを発生させることによりエッチング操作を実行し、エッチング操作は、特徴の側壁の一部分から不要な材料を横方向にエッチングし、(b)基板が下部チャンバ領域内に配置される間、下部チャンバ領域内に堆積反応物を含む容量結合堆積プラズマを発生させることにより堆積操作を実行し、堆積操作は、特徴の側壁の第2の部分の全域にわたって保護フィルムを形成し、保護フィルムは、側壁の最上部近くで最も厚く、側壁の最下部に至るまで延伸することがないように、非共形であり、(c)不要な材料が特徴の側壁全体に沿って横方向にエッチングされるまで(a)のエッチング操作および(b)の堆積操作を反復し、(a)の異なる反復は、特徴の側壁の異なる部分から不要な材料を横方向にエッチングし、(b)の異なる反復は、特徴の側壁の異なる第2の部分の全域にわたって保護フィルムを堆積させ、(a)におけるエッチング操作の少なくとも1つの反復の間、横方向にエッチングされる側壁の部分は、(b)の先行する反復で堆積させられた保護フィルムにより覆われる側壁の第2の部分の真下にある。
これらおよび他の特徴について、添付図面を参照して以下で記述する。
さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。
凹状特徴内部の異なる位置におけるエッチング剤濃度を記述するグラフであり、保護フィルムが側壁上にまったく提供されない場合に対応する。 凹状特徴内部の異なる位置におけるエッチング剤濃度を記述するグラフであり、保護フィルムが側壁上に提供される場合に対応する。
材料が最初に特徴の最上部部分から取り除かれ、後に特徴の最下部部分から取り除かれる、ある実施形態に従う、高アスペクト比の特徴を横方向にエッチングする方法について記述するフローチャート。
材料が最初に特徴の最下部部分から取り除かれ、後に特徴の最上部部分から取り除かれる、ある実施形態に従う、高アスペクト比の特徴を横方向にエッチンする方法について記述するフローチャート。
図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Aで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。
図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 図2Bで記述するさまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。
ある実施形態に従う、本明細書で記述されるエッチングおよび堆積に用いられ得る装置を示す機能構成図。
ある実施形態に従う、凹状特徴の側壁を横方向にエッチングする方法について記述するフローチャート。
ある実施形態に従う、凹状特徴の側壁上に保護フィルムを堆積させる方法について記述するフローチャート。
得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。 得られる側壁が非垂直になるように各エッチング操作を制御して、特定のエッチング量を達成する、ある実施形態に従う、さまざまな処理ステップの間にわたって部分的に製造された半導体基板を示す説明図エッチング操作。
ある実施形態に従う、異なる側壁プロファイルを有するエッチングされた特徴を示す説明図。 ある実施形態に従う、異なる側壁プロファイルを有するエッチングされた特徴を示す説明図。 ある実施形態に従う、異なる側壁プロファイルを有するエッチングされた特徴を示す説明図。
本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製造された集積回路」という用語を交換可能に使用する。当業者は、「部分的に製造された集積回路」という用語が、その上で集積回路を製造する多くの段階のいずれかの間にあるシリコンウエハを指す可能性があることを理解されよう。半導体素子業界で使用するウエハまたは基板は、典型的には200mm、または300mm、または450mmの直径を有する。以下の詳細な記述は、実施形態がウエハ上で実装されると仮定する。しかしながら、実施形態は、そのように限定されるわけではない。加工物は、さまざまな形状、サイズ、および材料からなってよい。半導体ウエハに加えて、開示する実施形態を利用してよい他の加工物は、プリント回路基板、磁気記録媒体、磁気記録センサ、鏡、光学素子、微少機械素子などのようなさまざまな物品を含む。
以下の記述では、提示する実施形態を十分に理解することができるようにするために、数多くの具体的な詳細について示す。開示する実施形態は、これらの具体的な詳細の一部またはすべてなしに実施されてよい。他の実例では、開示する実施形態を不必要に不明瞭にしないために、周知の処理操作について詳細に記述しない。具体的実施形態と関連づけて、開示する実施形態について記述するが、その一方で、開示する実施形態を限定することを意図するものではないことを理解されるべきである。
図1A~図1Fは、ある実施形態に従う、部分的に製造された基板をさまざまな時点で示す。図1Aでは、基板は、第1の材料102および第2の材料103からなるいくつかの交互層を有する積層を含む。一例では、第1の材料102は、酸化ケイ素などの酸化物材料であり、第2の材料103は、ポリシリコンである、またはその逆である。別の例では、第1の材料102は、酸化物材料であり、第2の材料103は、窒化物材料(たとえば、窒化ケイ素)である、またはその逆である。一般的に言って、積層は、低k誘電体材料、窒化ケイ素(Si34)、窒化チタン(TiN)、酸化ケイ素(SiO2)を含んでよい。積層を堆積させた後、図1Bに示すように、積層中に特徴104をエッチングする。特徴は、高アスペクト比の特徴であってよい。さまざまな実施形態では、特徴は、約20~200の間の、幅に対する深さのアスペクト比を有してよい。いくつかの事例では、特徴は、少なくとも約20、または少なくとも約40、または少なくとも約60、または少なくとも約100、または少なくとも約150のアスペクト比を有してよい。これらまたは他の事例では、特徴は、約200以下、または約120以下、または約100以下、または約80以下のアスペクト比を有してよい。一般に、本明細書に記述する技術は、広範なアスペクト比にわたり処理を可能にする。アスペクト比は、特徴の深さを特徴の臨界直径(たとえば、幅)で除算して計算される。いくつかの事例では、特徴は、約30kA~120kAの間の、または約45kA~60kAの間の深さを有してよい。これらまたは他の事例では、特徴は、約250A~1500Aの間の、または500A~1000Aの間の臨界直径を有してよい。
次に、図1Cに示すように、第1の材料を実質的に保持しながら第2の材料をエッチバックするために、選択的エッチングが実行される。この選択的エッチングは、凹状ポケット105を形成する。図1Dに示すように、特徴104の側壁に沿ってライナ106(たとえば、いくつかの事例では窒化物材料であるが、他の材料もまた使用してよい)を堆積させてよく、凹状ポケット105にライニングを施すステップを含む。他の事例では、ライナ106は省略され得る。次に、図1Eに示すように、凹状ポケット105の内部を含む特徴104の側壁に沿って、材料107(たとえば、ある種の事例では、金属、ポリシリコンまたは誘電体材料)が堆積される。次に、図1Fに示すように、特徴104の側壁から材料107の一部分が除去される。このエッチング操作は、事前に凹状にされたポケット105の各々の中に形成された材料107の異なる部分を電気的に絶縁する。このエッチング操作は、側壁に沿って2つ以上の材料(たとえば、材料107およびライナ106、または材料107および第1の材料102)を暴露する結果をもたらすので、エッチングは、選択的であるべきである。たとえば、ライナ106および/または第1の材料102を実質的に保持しながら、材料107をエッチングするためにエッチング操作は最適化され得る。
実際には、高アスペクト比の特徴に対してこのエッチング操作を実行することは困難であった。たとえば、ウェットエッチングを使用する場合、エッチング処理を制御することは非常に困難である。特徴がますます狭くなり、ますます高アスペクト比になるにつれて、湿った化学物質を特徴の中に(特に特徴の最下部まで)供給して、エッチング操作を開始することは困難であり、エッチングが完了した後、湿った化学物質を特徴から取り除くこともまた困難である。これらの困難は、毛細管効果に起因することがある。いくつかの事例では、湿った化学物質を取り除くことによりパターン崩壊につながる可能性があり、その場合、特徴の側壁は互いに崩壊し、事実上、特徴を破壊する。さらに、化学物質が基板の適切な部分に接触すると、エッチングが非常に迅速に行われるので、ウェットエッチング処理を制御するのは困難である。これらの効果が組み合わさって、ウェットエッチングをさまざまな処理方式に組み入れることを挑戦的にしている。
従来のドライエッチングを使用する場合、以前は、高アスペクト比の特徴の側壁を一様に横方向にエッチングすることは可能ではなかった。たとえば、図1Gに示すように、エッチング化学物質は、特徴の最上部近くで実質的により集中し/利用可能であり、特徴の最下部近くで実質的に消失する。この消失は、特徴の最上部近くの側壁で(たとえば、反応、吸収などを通して)エッチング種が失われることに起因する。図1Eおよび図1Fを参照すると、従来のドライエッチング技法は、特徴104の最上部近くで物質107のオーバーエッチングを、特徴104の中間および/または最下部で物質107のアンダーエッチング(多くの事例では、エッチングなし)をもたらす。図1Hについて、以下でさらに説明する。
本明細書のさまざまな実施形態では、高アスペクト比の特徴は、(a)側壁の一部分に沿って保護フィルムを堆積させること、および(b)実質的に保護フィルムのない部位で側壁をドライエッチングすることを伴う技術を使用して横方向にエッチングされる。これらのステップは任意の回数繰り返されてよく、いずれのステップが最初に実行されても良い。いくつかの例では、これらのステップは、保護フィルムを取り除くことを伴うステップと共に反復されてよい。他の例では、特徴が完全にエッチングされた後、保護フィルムが除去される。特徴の側壁上の不要な材料は、特徴の最下部から上方へ、または特徴の最上部から下方へ取り除かれてよく、特徴の異なる部分は、異なるエッチング操作でエッチングされる。図2Aおよび図2Bは、開示する実施形態を実施する代替方法に関するフローチャートを提供する。図2Aは、特徴の最上部から特徴の最下部の方へ不要な側壁材料を取り除く処理を示し、一方では、図2Bは、特徴の最下部から特徴の最上部の方へ不要な側壁材料を取り除く処理を示す。図3A~図3Gに示す部分的に製造された基板に関連して図2Aについて説明し、図4A~図4Hに示す部分的に製造された基板に関連して図2Bについて説明する。
図2Aの方法は、操作201から始まり、操作201では、形成された高アスペクト比の特徴を内部に有する基板を処理装置で受け取られる。多くの例では、基板は、内部に複数の特徴を有する。例示的アスペクト比は、上述のように約20~200の間である。図3Aを参照すると、特徴304は、第1の材料302および第2の材料303からなる交互層の形で形成されてよい。第1の材料302および第2の材料303はそれぞれ、図1A~図1Fにおいてそれぞれ第1の材料102および第2の材料103に関連して記述された材料であってよい。任意選択的に、図示するようにライナ306が提供されても良い。材料308および不要な材料309は、同じタイプの材料であり、図1Eおよび図1Fにおいて材料107に関連して記述された材料であってよい。異なる参照番号308および309は、材料の位置に関係する。具体的には、材料308は、(たとえば図1C、図1E、および図1Fに関連して記述されたように)第2の材料303がエッチバックされたときに形成された凹部の内部において第1の材料302の層間に配置され、不要な材料309は、これらの凹部の外側で特徴304の側壁に沿って配置される。いくつかの実施形態の1つの目的は、材料308を実質的に保持しながら、不要な材料309を取り除くことである。
次に、操作203では、図3Bに示すように、特徴304の側壁の最上部部分を横方向にエッチングするために、プラズマエッチングが実行される。このエッチング処理について、以下でさらに記述する。エッチングは、エッチング処理の性質のために、特徴304の最上部部分に限定される。たとえば、図1Gに示すように、エッチング化学物質は、特徴304の最上部近くに集中し、特徴304のさらに下方で実質的に消失する。特徴304の中に深く浸透するエッチング剤はほとんどないので、エッチング剤は、特徴304の最上部部分に限定される。エッチング処理は、選択的エッチングであり、存在する場合にはライナ306を、および/または暴露された場合には第1の材料302を実質的に保持しながら材料309を取り除くようにエッチング処理が調整されることを意味する。
次に、操作205では、図3Cに示すように、特徴304の側壁の一部分の全域にわたって保護フィルム310が堆積される。この堆積処理について、以下でさらに説明する。一般に、保護フィルム310は、不要な材料309と比較してはるかにゆっくりとエッチングされる(またはまったくエッチングされない)ように、続くエッチング操作で使用されるエッチング化学物質に耐える材料から作製される。第1の反復では、側壁の最上部部分上に保護フィルム310が形成され得る。これは、事前に不要な材料309が除去された特徴304の同じ部分に対応してよい。不要な材料309が除去された部位に保護フィルム310が形成されるように目標を設定することにより、今後のエッチングステップでこれらの部位をオーバーエッチングから保護され得る。
操作207では、たとえば図3Dに示すように、特徴304の側壁の一部分を横方向にエッチングするために、追加のプラズマエッチングが実行される。操作207においてエッチングされる側壁の部分は、保護フィルム310の真下にある側壁の部分である。図1Hは、操作207の間の、エッチング化学物質の可用性を例示する。保護フィルム310は、特徴304の最上部近くにおいて、さらなる反復から側壁を保護するので、エッチング化学物質は、保護フィルムの深さに到達するまで、実質的に消失し始めることはない。エッチング化学物質は、この深さよりも深い所で、不要な材料309を除去するために反応し、消失し始める。
次に、操作209では、エッチングか完了したか否かが判断される。いくつかの例では、操作207は、特徴304の最下部に至るまで不要な材料309をすべて取り除いてよく、その場合、エッチングは完了し、方法は、操作211まで移行し、操作211において、保護フィルム310は、特徴304の側壁から剥離される。剥離操作について、以下でさらに説明する。
他の例では、たとえば図3Dに示すように、操作207は、(たとえば、不要な材料309が事前に取り除かれた最上部部分の下方であり、かつ不要な材料309が残っている最下部部分の上方で)残っている不要な材料309の部分だけを側壁から取り除く。これらの例では、方法は、操作209から操作205に進み、操作205では、図3Eに示すように、側壁の一部分の全域にわたって追加の保護フィルム310が堆積される。保護フィルム310は、事前に堆積させられた保護フィルム310上に直接形成され得る。しかしながら、いくつかの例では、図3Eの追加の保護フィルム310を堆積させる前に、図3Dの第1の保護フィルム310が剥離され得る。いずれの例においても、操作205の第2の反復で堆積させられる保護フィルム310は、操作205の第1の反復で堆積させられた保護フィルム310と比較して、特徴304の中により深く伸展する。一般的に言えば、図2Aの方法を実施するとき、各反復に伴い保護フィルム310は特徴304の中に連続してより深く堆積され得る。しかしながら、いくつかの例では、事前に堆積させられた保護フィルム310と比較して特徴304の中にそれほど深く伸展しない保護フィルム310を堆積させること(たとえば、側壁の特定の部分をより完全にエッチングすること)が有益なことがある。
次に、操作207では、図3Fに示すように、追加のプラズマエッチングを実行して、側壁の一部分から不要な材料309が除去される。上述のように、エッチングされる側壁の部分は、保護フィルム310の真下の部分である。図1Hを参照すると、保護フィルムは、今では操作207の先の反復と比較して特徴304の中により深く伸展する。したがって、エッチング化学物質は、特徴の中により深く侵入して、側壁の適切な部分をエッチングすることができる。図3A~図3Gの例では、不要な材料309は、操作207の第2の反復後、完全に取り除かれる。したがって、操作209で、今ではエッチングが完了したと判断され、方法は、操作211に進み、操作211において、図3Gに示すように、保護フィルムは、特徴の側壁から剥離される。
図4A~図4Hを参照して、図2Bで別の方法について記述する。図2Bの方法は、操作221から始まり、内部にパターン形成された高アスペクト比の特徴を有する基板を処理装置内に提供する。上述のように、多くの例では、基板は、内部に複数の特徴を有する。図4Aを参照すると、特徴404は、第1の材料402および第2の材料403からなる交互層の形で形成されてよい。第1の材料402および第2の材料403はそれぞれ、図1A~図1Fにおいて、それぞれ第1の材料102および第2の材料103に関連して記述された材料であってよい。任意選択的に、図示するように、ライナ406が提供されてもよい。材料408および不要な材料409は、同じタイプの材料であり、図1Eおよび図1Fにおいて材料107に関連して記述された材料であってよい。異なる参照番号408および409は、材料の位置を指す。具体的には、材料408は、(たとえば図1C、図1E、および図1Fに関連して記述したように)第2の材料403がエッチバックされたときに形成された凹部内部において、第1の材料402の層間に配置され、不要な材料409は、これらの凹部の外側で特徴404の側壁に沿って配置される。上記で指摘したように、いくつかの実施形態の1つの目的は、材料408を実質的に保持しながら、不要な材料409を取り除くことである。
方法は、操作223に進み、図4Bに示すように、特徴404の側壁の一部分の全域にわたって保護フィルム410が堆積される。保護フィルム410は、比較的深くまで堆積させられる。堆積について以下でさらに説明する。次に、ステップ225において、図4Cに示すように、特徴404の側壁の一部分が横方向にエッチングされ、不要な材料409が除去される。横方向にエッチングされる側壁の部分は、保護フィルム410の下方にある最下部部分である。保護フィルム410は、特徴404の中に深く延伸するので、エッチング化学物質を特徴の中に深く浸透させて(たとえば、エッチング化学物質は、特徴の最上部近くで不要な材料409と反応することによって消失することがないので)、特徴の最下部近くで側壁をエッチングすることができる。次に、操作227において、図4Dに示すように、側壁から保護フィルム410が剥離される。剥離操作について、以下でさらに説明する。いくつかの例では、たとえば、エッチング条件が操作225の間に保護フィルムのかなりの部分を取り除き、別個のステップで保護フィルムを剥離する必要が全くないような場合には、この剥離操作227は省略され得る。
次に、エッチングかほぼ完了したかどうかが判断される。エッチングは、残っている不要な材料409が単一のエッチング操作で除去され得るとき、ほぼ完了している。エッチングがほぼ完了した場合、方法は、操作331に進み、特徴404の側壁の最上部部分から残っている不要な材料409を横方向にエッチングするためにプラズマエッチングが用いられる。この操作について、図4Gおよび図4Hを参照して以下で説明する。
操作229でエッチングがまだほぼ完了していないと判断された場合、方法は、操作223に進み、図4Eに示すように、特徴404の側壁の一部分の全域にわたって新しい保護フィルム410が堆積される。操作223における第2の反復で堆積させられた新しい保護フィルム410は、操作223における第1の反復で堆積させられた第1の保護フィルム410と比較して、特徴404の中に浅く延伸してよい。一般に、追加の反復を実行するとき、保護フィルム410は、さらに浅く形成されてよい。しかしながら、いくつかの例では、たとえば、側壁の特定の部分から不要な材料409をより広範囲にわたって取り除くために、先行する保護フィルム410よりも深く保護フィルム410を形成することが有益なことがある。方法は、操作225の第2の反復に進み、特徴の側壁の一部分をエッチングするためにプラズマエッチングが使用される。エッチングされる部分は、操作223において堆積された保護フィルム410の真下にある部分である。操作225の間、保護フィルム410よりも下方に配置された、残っている不要な材料409を取り除くためにエッチング化学物質が特徴の内部に浸透し得るように、操作223において保護フィルム410は十分深く堆積されるべきである。保護フィルム410を十分深く堆積させない場合、エッチング化学物質は、特徴の中に深く浸透して、残っている保護されていない不要な材料409のすべてを取り除くことができる前に、過剰に消失止得る。
図4Eに示すように、保護フィルム410が堆積された後、操作225において、プラズマエッチングが実行され、図4Fに示すように、側壁が横方向にエッチングされ、不要な材料409の一部分が取り除かれる。取り除かれる不要な材料409の部分は、保護フィルム410の真下にある部分である。次に、操作227で、図4Gに示すように、特徴404の側壁から保護フィルム410が剥離される。方法は、操作229に進み、エッチングがほぼ完了したか否かが再度判断される。図4Gの図示では、残っている不要な材料404は単一のエッチング操作で除去され得るので、エッチングは、ほぼ完了していると判断される。したがって、方法は、操作331に進み、図4Hに示すように、側壁の最上部部分が横方向にエッチングされ、残っている不要な材料409が除去される。このエッチングは、側壁上に保護フィルム410がまったく存在しない状態で行われ、その結果、特徴404の最上部近くにある不要な材料409が除去され得る。
図2Aおよび図2Bに記載し、図3A~図3G、および図4A~図4Hに示す処理方式を用いることにより、側壁の任意の部分をオーバーエッチングする危険性は、(従来のウェットエッチング方式およびドライエッチング方式と比較して)実質的に低減される。すなわち、エッチング操作側壁の各部分は、(1)特定の量をエッチングするように目標を設定され、(2)保護フィルムが存在するために、エッチングから保護され、または(3)特徴の適切な深さにエッチング化学物質が浸透することがなくエッチングから保護されるからである。さらに、エッチングは、制御可能な十分にゆっくりであり、許容可能なスループットを提供するために十分に高速な速度で実行され得る。したがって、これらの方法には、上記で記述した従来の技法よりもかなりの利点がある。
本明細書に記述する技術はまた、実現される側壁の特性を精密に制御可能にするという点で有利である。各エッチング操作は、側壁の特定の部分をターゲットとするので、側壁の各部分でエッチングの程度を制御することができる。側壁の各部分をターゲットとするエッチング操作の継続期間に基づき、エッチングの程度を制御することができる。たとえば、図7A~図7Kは、いくつかの処理ステップの間にわたって部分的にエッチングされた特徴を描き、この場合、各エッチング操作は、特定の程度のエッチングを実現するように制御される。図7A~図7Kは、図4A~図4Hに示す処理シーケンスに類似する処理シーケンスを描き、図2Bの方法を使用して達成されてよい。簡潔にするために、相違点について記述する。
この例では、特徴704は、第1の材料702および第2の材料703からなる交互層の形で事前に形成される。図示するように、任意選択のライナ706が存在し得る。不要な材料709は、特徴704の側壁にライニングを施す。保護フィルム710は、図に示すように、繰り返し形成され、取り除かれる。エッチング操作の(たとえば、図7Bから図7Cへ進む)第1の反復では、比較的長いエッチング継続期間が用いられる。その結果、特徴704の最下部近くの不要な材料709/材料708は、第1の材料702の層の間でかなりの程度までエッチバックされる。エッチング操作の(たとえば、図7Eから図7Fへ進む)第2の反復では、第1の反復と比較して(側壁の適切な部分で)より程度の低いエッチングを実現するようにエッチング継続期間が制御される。エッチング操作の(たとえば、図7Hから図7Jへ進む)第3の反復では、第2の反復と比較して(側壁の適切な部分で)より程度の低いエッチングを実現するようにエッチング継続期間が制御される。エッチング操作の(たとえば、図7Kから図7Lへ進む)第4の反復では、第3の反復と比較してより程度の低いエッチングを実現するようにエッチング継続期間が制御される。換言すれば、残っている材料708の量が、特徴704の最下部近くで比較的少なく、かつ特徴704の最上部近くで比較的多くなるように、各エッチング操作中にエッチング継続期間が制御される。別の実施形態では、その結果として得られる図7Lに示す同じ構造を作り出すために、図2Aの方法が使用されても良い。図7A~図7Lは、簡単のために、各エッチング操作の間にエッチングのターゲットとなる単一のセルのみ(各セルは、第1の材料702からなる2つの層の間で画定される)が描かれている。いくつかの実施形態では、各エッチング操作は、保護フィルム710を堆積させる間も、各エッチング反復の間も、たとえば使用する化学物質および処理条件に応じて、側壁に沿っていくつかのセルをターゲットとしてよい。
図7L~図7Nは、各エッチング操作の継続期間を制御することにより実現され得る交互構造物を描く。図7Lでは、エッチング後にセル内部に残っている材料708は、特徴704の最下部近くで実質的により多く、特徴704の最上部近くで実質的により少ない。図7Mでは、エッチング後、特徴の最上部と側壁の最下部の両方でかなりの量の材料708が残り、一方では、側壁の中央部近くの領域内に、より少ない量の材料708が残る。図7Nでは、エッチング後に残っている材料708は、より少ない量が残る材料708とより多くの量が残る材料708を交互に繰り返す。各エッチング操作の継続期間を単に制御することにより、さまざまな他の構造を望み通りに実現することができる。この技術は、各エッチング操作が側壁に沿って特定の垂直領域をターゲットとするので、成功する可能性がある。
本明細書に記述するいくつかの実施形態では、基板上の特徴の側壁から不要な材料を横方向にエッチングする方法は、エッチング操作および堆積操作を反復するステップを伴う。エッチング操作は、特徴の側壁の一部分から不要な材料を横方向にエッチングし、堆積操作は、特徴の側壁の第2の部分の全域にわたって保護フィルムを形成する。エッチング操作および堆積操作を互いに反復するとき、エッチング操作の異なる反復は、特徴の側壁の異なる部分から不要な材料を横方向にエッチングし、堆積操作の異なる反復は、特徴の側壁の異なる第2の部分の全域にわたって保護フィルムを堆積させる。いくつかの事例では、エッチング操作の異なる反復でエッチングされる側壁の異なる部分は、互いに重なり合ってよい。同様に、堆積操作の異なる反復で保護フィルムが堆積させられる側壁の異なる第2の部分は、互いに重なり合ってよい。換言すれば、エッチング操作および堆積操作の異なる反復は、側壁の「異なる」部分をターゲットとするが、これらの部分は重なり合ってよい。ある例として、深さ約70kA~90kAの間にある側壁の一部分で側壁をエッチングする第1のエッチング操作、および深さ約60kA~80kAの間にある側壁の一部分で側壁をエッチングする第2のエッチング操作は、両方のエッチング操作が、たとえ深さ70kA~80kAにある材料をターゲットとしても、側壁の異なる部分の全域にわたってエッチングすると考えられる。同様に、側壁の最上部の40%の全域にわたって堆積させられた第1の保護フィルム、および側壁の最上部の60%の全域にわたって堆積させられた第2の保護フィルムは、側壁の異なる第2の部分の全域にわたって堆積させられたと考えられる。
エッチング操作
図2Aおよび図2Bに関連して記述したように、横方向エッチング操作は、少なくとも2回実行され、堆積操作および/または剥離操作を伴って任意の回数、反復されてよく、これについて、以下でさらに記述する。各横方向エッチング操作は、高アスペクト比の特徴の側壁の特有の部分をターゲットとする。このように、段階的に不要な材料が取り除かれる。いくつかの事例では、図2Aに関連して記述したように、側壁の最上部から側壁の最下部の方へ材料が取り除かれる。他の事例では、図2Bで記述したように、側壁の最下部から側壁の最上部の方へ材料が取り除かれる。さらにまた、これらの何らかの組合せが用いられ得る。
さまざまな実施形態では、エッチング操作は、エッチング反応物を供給するステップと、エッチング反応物からプラズマを発生させるステップと、プラズマに基板を(たとえば、イン・サイチュ・プラズマの場合には直接に、または遠隔/上流プラズマの場合には間接的に)暴露して、高アスペクト比の凹状特徴の側壁を横方向にエッチングするステップとを伴う。エッチングは、典型的には等方的に行われる。いくつかの実施形態では、プラズマは、誘導結合プラズマである。誘導結合プラズマは、プラズマ発生領域と呼ばれることがある上部チャンバ領域内で、基板の上流で発生させられてよい。ガス分配機器、グリッド、または他の構造物は、上部チャンバ領域と下部チャンバ領域の間に配置されて良く、この場合、基板は、基板支持物上に配置される。ガス分配機器または他の構造物は、下部チャンバ領域の中を通してフィルタ処理して、基板と相互作用する種の組成/混合を制御する役割を果たしてよい。任意選択的に、さらにまたガス分配機器は、下部チャンバ領域および/または上部チャンバ領域にガスを供給するために使用され得る。いくつかの例では、同様に容量結合プラズマが適用されても良い。いくつかの例では、上部チャンバ領域から基板を分離する基板支持物とガス分配機器(または他の構造物)の間で、容量結合プラズマが発生されても良い。ガス分配機器(または他の構造物)を接地しながら基板支持物上にバイアス(たとえば、ACバイアス)を印加することにより、容量結合プラズマが発生されてもよい。以下でさらに論じる例示的装置を図5に示す。
図6Aは、選択的手法で高アスペクト比の凹状特徴の側壁を横方向にエッチングする方法600について記述するフローチャートである。610では、基板処理システムの下部チャンバ領域内に基板が配置される。614では、上部チャンバ領域にエッチングガス混合物が供給される。618では、上部チャンバ領域と下部チャンバ領域の間にあるガス分配機器に冷却液が任意選択的に供給される。622では、ガス分配機器にパージガスが任意選択的に供給され、ガス分配機器は、下部チャンバ領域にパージガスを供給してよい。628では、所定のエッチング期間の間、上部チャンバ領域内でプラズマがストライクされ(打ち当てられ)、基板の側壁から不要な材料をエッチングする。不要な材料は、エッチングの間に暴露される、または暴露されるようになる追加の材料に関して選択的にエッチングされる。図1Eおよび図1Fを参照すると、エッチングは、(存在する場合には)ライナ106および/または(暴露される場合には)第1の材料102を実質的に保持しながら、材料107を、取り除くためのターゲットとするように、選択的である。332では、エッチングガス混合物は、任意選択のエッチング後の期間の間に、エッチング後のガス混合物に遷移してよい。エッチング後の期間は、特徴内部の表面からフッ素を除去するために提供されてよく、最終エッチング反復の間に特に有用なことがある。いくつかのエッチング操作において、操作618、622、および632の1つまたは複数が省略されてよい。334では、プラズマは、消滅させられる。この処理は、高アスペクト比の凹状特徴の側壁の特定の部分から不要な材料をエッチングする。各エッチング反復でターゲットとなる側壁の部分は、(エッチング中に保護フィルムが存在する反復では)保護フィルムの真下にある(たとえば、特徴内部のより深くにある)部分、または(エッチング中に保護フィルムが存在しない反復では)特徴の最上部である。
いくつかの異なる要因が、エッチング結果に影響を及ぼす。そのような要因は、反応チャンバ内部の圧力、反応チャンバの中へ入る種の総流量およびそのような種の組成(たとえば、そのような種のフッ素含有量を含む)、誘導結合プラズマを発生させるために使用するRF出力レベル、ならびに(存在する場合には)容量結合プラズマを発生させるために使用するRF出力レベルを含む。ある種の実施形態では、エッチング中の圧力は、約0.1トール(約13.33Pa)~約10トール(約1333Pa)の間であってよい。基板の直径が約300mmであり、かつエッチング剤がハロゲン含有種であるいくつかの例では、エッチング剤の流量は、約1sccm~1000sccmの間であってよい。反応チャンバの中へ入る(たとえば、エッチング剤、不活性種、および任意の他の種を含む)種の総流量は、約50sccm~5000sccmの間であってよい。これらの流れは、単に例として提供され、異なるサイズの基板および装置に合わせて、ならびに異なるフッ素含有量を有するエッチング剤に合わせて調節されてよい。いくつかの実装形態では、誘導結合プラズマを発生させるために使用するRF出力は、約13.56MHzの周波数で約200W~3000Wの間であってよい。誘導結合プラズマに加えて容量結合プラズマを使用する事例では、容量結合プラズマを発生させるために基板支持物に印加するバイアスは、約13.56MHzの周波数で約50W~1000Wの間であってよい。これらの出力レベルは、エッチング中に直径が300mmの単一基板がチャンバ内に存在すると仮定し、基板表面積に基づきスケールを調整することができる。他の出力レベルおよび周波数もまた使用することができる。基板および/または基板支持物は、約-10℃から120℃の間、またはいくつかの事例では約60℃未満の温度に維持されてよい。いくつかの事例では、各エッチング反復の継続期間は、約10秒~100秒の間であってよい。
エッチングガス混合物の中に提供されてよい例示的エッチング剤は、フッ素に基づくエッチング剤(たとえば、四フッ化炭素(CF4)、三フッ化窒素(NF3)、六フッ化イオウ(SF6)、フルオロメタン(CH3F)、ジフルオロメタン(CH22)、四フッ化ケイ素(SiF4)、ヘキサフルオロブタジエン(C46)、ヘキサフルオロエタン(C26)など)、酸素含有種(たとえば、酸素(O2)、亜酸化窒素(N2O)、硫化カルボニル(COS)、一酸化炭素(CO)など)、塩素含有種(たとえば、塩素(Cl2)、三塩化ホウ素(BCl3)、塩化水素(HCl)など)、含硫種(たとえば、硫化水素(H2S)、硫化カルボニル(COS)、六フッ化イオウ(SF6)など)、炭化水素(たとえば、メタン(CH4)など)、水素に基づく他の種(たとえば、水素分子(H2))、不活性種(たとえば、N2、Ar、Heなど)、およびそれらの組合せを含むがそれらに限定されない。特定の例は、以下に含まれる。多くの事例では、エッチング化学物質は、側壁から不要な材料を取り除くように作用するフッ素ラジカル(F*)を作り出す。いくつかの事例では、追加のラジカル(たとえば、H*およびN*)もまた作り出されることがある。
一例では、側壁から取り除かれる不要な材料は、タングステンなどの金属である。この例では、エッチングガス混合物は、フッ素に基づく反応物を含んでよい。フッ素に基づく反応物は、四フッ化炭素(CF4)、三フッ化窒素(NF3)、六フッ化イオウ(SF6)、フルオロメタン(CH3F)、ジフルオロメタン(CH22)、フルオロフォルム(CHF3)、ヘキサフルオロブタジエン(C46)などを含んでよい。エッチングガス混合物は、分子酸素(O2)、分子窒素(N2)、分子塩素(Cl2)などをさらに含んでよい。一実施形態では、エッチングガス混合物は、分子水素(H2)、ならびに三フッ化窒素(NF3)、四フッ化炭素(CF4)、および六フッ化イオウ(SF6)からなるグループから選択された1つまたは複数のガスを含む。別の実施形態では、エッチングガス混合物は、一酸化炭素(CO)および分子窒素(N2)を含んでよい。別の実施形態では、ガス混合物は、六フッ化イオウ(SF6)、三フッ化窒素(NF3)、フルオロメタン(CH3F)、ジフルオロメタン(CH22)、およびテトラフルオロメタン(CF4)からなるグループから選択された1つまたは複数のガスに加えて、分子窒素(N2)および/または分子酸素(O2)を含んでよい。別の実施形態では、ガス混合物は、分子酸素(O2)および分子塩素(Cl2)を含んでよい。別の実施形態では、ガス混合物は、分子塩素(Cl2)、ならびに六フッ化イオウ(SF6)、三フッ化窒素(NF3)、フルオロメタン(CH3F)、ジフルオロメタン(CH22)、および四フッ化炭素(CF4)、からなるグループから選択された1つまたは複数の反応物を含んでよい。基板および/または基板支持物は、約40℃~120℃の間の温度で維持されてよい。下部チャンバ領域内の圧力は、約0.05トール~10トールの間、たとえば約0.1トール~5トールの間であってよい。金属は、いくつかの事例では、1分当たり少なくとも約50Åのエッチング速度で取り除かれてよい。いくつかの実装形態では、別の材料(たとえば、窒化物、酸化物、他の金属材料)に対する金属の選択性は、金属層を取り除く間は約10:1よりも大きく、これは、窒化物または酸化物が取り除かれる速度の10倍の速度で金属が取り除かれることを意味する。いくつかの事例では、別の材料に対する金属の選択性は、200:1よりも大きい。
第2の例では、不要な材料は、ケイ素(たとえば、いくつかの事例ではポリシリコン)であり、エッチングガス混合物は、フッ素に基づく種および水素に基づく種を含んでよい。水素に基づく種の濃度は、フッ素に基づく種の濃度よりも高濃度でよい。たとえば、エッチングガス混合物は、体積で約0.7%~10%の間でフッ素に基づく種を、体積で約50%よりも多く水素に基づく種を含んでよい。水素に基づく種は、いくつかの事例では、水素(H2)および/またはアンモニア(NH3)を含んでよい。フッ素に基づく種は、三フッ化窒素(NF3)、フルオロフォルム(CHF3)、ヘキサフルオロブタジエン(C46)、および/または四フッ化炭素(CF4)を含んでよい。基板および/または基板支持物は、約60℃以上の温度で、またはいくつかの事例では、約40℃~120℃の間の温度で維持されてよい。下部チャンバ領域内の圧力は、約5トール以下(いくつかの事例では、約0.1トール~5トールの間)であってよい。ケイ素は、いくつかの事例では、1分当たり約200Åのエッチング速度で取り除かれてよい。いくつかの実装形態では、エッチング剤は、フッ素に基づく種と異なる修正ガス種をさらに含み、その場合、修正ガス種は、三フッ化窒素(NF3)、四フッ化炭素(CF4)、フルオロメタン(CH3F)、および六フッ化イオウ(SF6)のうち少なくとも1つを含む。いくつかの実装形態では、ウエハは、静電チャック上で支持され、自然酸化膜層をさらに含み、エッチング方法は、基板支持物(静電チャックとも呼ばれる)にバイアスを印加して、下部チャンバ領域内で少なくともフッ素に基づくエッチング剤の容量結合プラズマを発生させるステップと、容量結合プラズマに基板を暴露して、自然酸化膜層を取り除くステップとを含み、この場合、自然酸化膜層の除去は、ポリシリコン層の除去と共にインサイチュで実行される。いくつかの実装形態では、別の材料(たとえば、窒化物材料または酸化物材料)に対するケイ素の選択性は、ポリシリコン層を取り除く間は約500:1よりも大きく、これは、窒化物または酸化物が取り除かれる速度の500倍の速度でケイ素が取り除かれることを意味する。
第3の例では、不要な材料は、窒化ケイ素である。ケイ素をエッチングするステップに関して上記で提供した詳細は、窒化ケイ素をエッチングするステップに同様に適用されてよい。しかしながら、いくつかの事例では、窒化ケイ素エッチングは、約-10℃~60℃の間の基板温度で行われてよい。窒化ケイ素をエッチングするために使用してよい例示的処理ガスは、たとえば、三フッ化窒素(NF3)、四フッ化炭素(CF4)、六フッ化ケイ素(SF6)、ヘリウム(He)、アルゴン(Ar)、分子酸素(O2)、亜酸化窒素(N2O)、分子窒素(N2)、およびそれらの組合せを含む。
パージガスとして提供され得る例示的ガスは、He、Ar、およびN2を含むがそれらに限定されない。エッチング後のガス混合物として提供され得る例示的ガスは、水素含有種(たとえば、H2、NH3、CH4、C24、C36、C26など)、酸素含有種(たとえば、O2、CO2、N2O、H2O、O3など)、およびそれらの組合せを含むがそれらに限定されない。
エッチング操作に影響を及ぼす、上記で記述したさまざまな要因は、単一基板上での異なるエッチング反復に関して同じであってよい。他の事例では、これらの要因の1つまたは複数は、異なるエッチング反復間で変化してよい。たとえば、各エッチング反復の継続期間を選択して、側壁の全長に沿った不要な材料の均一な除去を実現することができる。いくつかの例では、エッチング反復の継続期間は、反復の追加に伴い増大してよい。いくつかの他の例では、エッチング反復の継続期間は、反復の追加に伴い減少してよい。他の例では、エッチング反復の継続期間は、同じであってよい。いくつかの実施形態では、相対的に特徴の最上部により近い不要な材料をターゲットとするエッチング反復は、相対的に特徴の最下部により近い不要な材料をターゲットとする異なるエッチング反復よりも短い継続期間で実行されてよい。いくつかの他の実施形態では、相対的に特徴の最上部により近い不要な材料をターゲットとするエッチング反復は、相対的に特徴の最下部により近い不要な材料をターゲットとする異なるエッチング反復よりも長い継続期間で実行されてよい。これらまたは他の事例では、基板および/または基板支持物の温度は、エッチング反復の追加に伴い増大、または減少し得る。これらまたは他の事例では、反応チャンバ内(たとえば、使用される場合には、上部チャンバ領域または下部チャンバ領域内部)の圧力は、エッチング反復の追加に伴い増大、または減少してよい。これらまたは他の事例では、エッチング反応物の流量は、エッチング反復の追加に伴い増大、または減少してよい。これらまたは他の事例では、誘導結合プラズマを発生させるために使用するRF出力は、エッチング反復の追加に伴い増大、または減少してよい。これらまたは他の事例では、(存在する場合には)容量結合プラズマを発生させるために使用するRF出力は、エッチング反復の追加に伴い増大、または減少してよい。一例では、一方のエッチング反復を、誘導結合プラズマだけを使用して実行されてもよく、その前の、またはその後のエッチング反復を、誘導結合プラズマと容量結合プラズマの両方を使用して実行されてもよい。これらの処理変数は、基板の側壁上の該当する領域のエッチングをターゲットにするために変更され得る。しかしながら、保護フィルムの存在/位置もまた、どこがエッチングのターゲットとされているかを決定する際に大きな役割を果たす。
選択的エッチングのための方法および装置について、それぞれ全体が参照により本明細書に組み入れられる、2015年11月11日に出願された、「Ultrahigh Selective Polysilicon Etch with High Throughput(多スループットを伴う超高選択性ポリシリコンエッチング)」と題する米国特許出願14/938,635、2015年9月21日に出願された、「Systems and Methods for Ultrahigh Selective Nitride Etch(超高選択性窒化物エッチングのためのシステムおよび方法)」と題する米国特許出願15/271,381、2016年2月3日に出願された、「Systems and Methods for Selectively Etching Tungsten in a Downstream Reactor(下流反応器内でタングステンを選択的にエッチングするためのシステムおよび方法)」と題する米国特許出願15/014,539、および2017年3月14日に出願された、「Ultrahigh Selective Nitride Etch to Form FinFET Devices(FinFET素子を製造するための超高選択性窒化物エッチング)」と題する米国特許出願15/458,292でさらに論じられている。
堆積操作
図2Aおよび図2Bに関連して記述したように、堆積操作は、少なくとも一度、実行され、堆積操作および/または剥離操作を伴って任意の回数、反復されてよい。各堆積操作は、凹状特徴の側壁の一部分の全域にわたって保護フィルムを堆積させる。保護フィルムは、特徴の最上部の側壁上に形成され、少しの距離の間、特徴の中に側壁の下方へ延伸する。この距離は、堆積操作反復の追加に伴って変わってよい。換言すれば、各保護フィルムは、特定の深さに到達するように形成されてよく、この深さは、エッチング方法の全体にわたって変化する。図2Aの方法では、保護フィルムは、図3A~図3Gに示すように、前の方の反復では比較的浅く、後の堆積ではより深く堆積させられてよい。図2Bの方法では、保護フィルムは、図4A~図4Hに示すように、前の反復では比較的深く、後の反復ではより浅く堆積させられてよい。これらの一般的傾向から逸脱してよいことが理解される。保護フィルムは、典型的には特徴の側壁を等角的(共形)にコートしないように形成される。代わりに、保護フィルムは、非等角的(非共形)であり、特徴の最上部近くで最大の厚さを有し、特徴の中により深く延伸するに連れて徐々に薄くなる。
いくつかの実施形態では、堆積操作は、1つまたは複数の堆積反応物を供給して、特徴の側壁上に保護フィルムを形成するステップを伴う。さまざまな実施形態では、保護フィルムは、高分子フィルムである。高分子フィルムは、フルオロカーボンに基づくフィルム(たとえば、ヒドロフルオロカーボンフィルム)であってよい。フルオロカーボンに基づく保護フィルムは、エッチング化学物質がフッ素に基づく場合、そのようなフィルムがこのエッチング化学物質に実質的に耐性があるので、特に有益である。さらに、フルオロカーボンに基づく保護フィルムは、上記で記述した剥離方法を使用して容易に取り除くことができる。いくつかの事例では、フルオロカーボンに基づく保護フィルムは、化学物質CHxyを使用して堆積させられてよく、ここで、x>yである。特定の例示的反応物は、CH4およびCH3Fを含む。
他のタイプの保護フィルムもまた使用され得る。これらフィルムは、酸化物、窒化ケイ素、ケイ素、および炭化水素に基づく材料を含んでよい。そのような場合、保護フィルムを堆積させるために使用する反応物は、所望の組成を実現するように選ばれてよい。
図6Bは、凹状特徴の側壁の一部分の上に保護フィルムを堆積させる方法650について記述するフローチャートである。652では、反応チャンバ内に基板が配置される。反応チャンバは、側壁を横方向にエッチングするために使用するものと同じであっても良く、または異なる反応チャンバ、たとえば堆積のために特別に構成された反応チャンバであってもよい。2つ以上の反応チャンバ(たとえば、堆積チャンバおよびエッチングチャンバ)を使用して図2Aまたは図2Bの方法を実行する場合、方法は、反応チャンバ間で基板を適宜に移送するステップをさらに含んでよい。反応チャンバが図5に関連して記述したようなものである場合、基板は、下部チャンバ領域内に、基板支持物上に配置されて提供されてよい。654では、反応チャンバに堆積ガスが供給される。堆積ガスは、少なくとも1つの堆積反応物を含み、さらにまた不活性ガスを含んでよい。図5に示すように、反応チャンバが上部チャンバ領域および下部チャンバ領域を含む場合、堆積ガスは、下部チャンバ領域、上部チャンバ領域、または両方に直接提供されてよい。656では、堆積期間の間、反応チャンバ内でプラズマがストライクされる(打ち当てられる)。この結果、特徴の側壁上に保護フィルムが堆積さられる。プラズマは、容量結合プラズマである。反応チャンバが、図5に関連して記述したようなものである場合、基板が位置する下部チャンバ領域内で容量結合プラズマが発生され得る。その結果、基板は、容量結合プラズマに直接暴露される。ガス分配機器(またはグリッド、または他の構造物)を接地したまま、基板ホルダにバイアスを印加することにより、プラズマが発生され得る。バイアスは、バイアスサイクルの負の半分の間に、正に帯電したイオンを基板に向けて引っ張るように作用し得るACバイアスであってよい。
容量結合プラズマに加えて、いくつかの事例では、堆積中に誘導結合プラズマも提供されてよい。たとえば、誘導結合プラズマは、基板から離間するように、上部チャンバ領域内で発生させられてよい。誘導結合プラズマは、不活性ガスおよび/または堆積反応物から発生させられてよい。多くの場合、保護フィルムを堆積させるために誘導結合プラズマは使用されない。
保護フィルムの組成に応じて、いくつかの異なる堆積機構が使用され得る。種々の例では、蒸着が使用される。いくつかの実装形態では、保護フィルムは、化学蒸着反応、原子層堆積反応、自己組織化単分子層反応などを通して形成され得る。
いくつかの要因が、保護フィルムの堆積に影響を及ぼす。いくつかの例では、基板および/または基板支持物の温度は、堆積中に約0℃~110℃の間に、たとえば、40℃~90℃の間に維持され得る。反応チャンバ(または基板が位置する反応チャンバの領域)内部の圧力は、約0.05トール(約6.66Pa)~1トールの間、たとえば、約0.1トール~0.5トール(66.66Pa)の間であってよい。容量結合プラズマを発生させるために使用するRF出力は、約13.56MHzの周波数で約50W~1000Wの間、たとえば、約100W~500Wの間であってよい。存在する場合には誘導結合プラズマを発生させるために使用するRF出力は、約100W~2000Wの間、たとえば、約200W~1000Wの間であってよい。堆積反応物に関する例示的流量は、約50sccm~1000sccmの間、たとえば、約100sccm~500sccmの間であってよい。反応チャンバの中へ入る(たとえば、堆積反応物、不活性種、および任意の他の種を含む)種の総流量は、約50sccm~4000sccmの間、たとえば、約100sccm~1000sccmの間であってよい。これらの流量は、異なるサイズの基板および装置に合わせて、および異なる反応物に合わせて調節されてよい。
これらの要因は、保護フィルムの堆積深さを制御するために、異なる保護フィルムを堆積させるステップの間にわたって(たとえば、単一基板上の異なる堆積反復で)制御され、調節されてよい。いくつかの例では、第1の基板温度または基板支持物温度で第1の保護フィルムが形成されてよく、その後、より高い、またはより低い基板温度または基板支持物温度で同じ基板上に第2の保護フィルムが形成されてよい。これらまたは他の例では、第1の圧力で第1の保護フィルムが形成されてよく、より高い、またはより低い圧力で第2の保護フィルムが形成されてよい。これらまたは他の例では、第1の堆積反応物流量で第1の保護フィルムが形成されてよく、より高い、またはより低い堆積反応物流量で第2の保護フィルムが形成されてよい。これらまたは他の例では、基板支持物に第1のRFバイアスを印加して、容量結合プラズマを発生させながら、第1の保護フィルムが形成されてよく、より高い、またはより低いRFバイアスレベルで第2の保護フィルムが形成されてよい。これらまたは他の例では、第1のRF出力をコイルに印加して、誘導結合プラズマを発生させながら、第1の保護フィルムが形成されよく、コイルに印加されるより高い、またはより低いRF出力レベルで、第2の保護フィルムが形成されてよい。これらの傾向は、(たとえば、保護フィルム形成の各反復で次第に高い、または次第に低い値の処理条件を使用して)追加の保護フィルムに拡張されてよい。一般的に言って、特徴の内部に比較的より深い保護フィルムの形成を促進する要因は、(1)より低い温度、(2)より低い反応物流束、および(3)基板支持物に印加される、より高いレベルのRFバイアスを含む。さまざまな堆積の間にわたってこれらの要因を制御することにより、保護フィルムの堆積(およびその結果、不要な材料の除去)は、図3A~図3G、および図4A~図4Hに示すように、望み通りに側壁の上方に、または下方に進行することができる。
剥離操作
いくつかの実施形態では、保護フィルムは、エッチング処理全体の期間のある時点で側壁から剥離される。いくつかの例では、保護フィルムは、図2Bおよび図4~図4Hに関連して記述したように、周期的に取り除かれ、再び堆積させられてよい。さらに他の事例では、剥離操作が省略されてもよい。いくつかのそのような例では、別個の剥離操作を実行する理由がないように、最終エッチング反復は、保護フィルムの除去に十分であってもよい。
剥離操作は、それにより、保護フィルムを取り除くために、プラズマに基板を暴露するステップを伴ってよい。さまざまな実施形態では、プラズマは分子酸素(O2)から発生され得る。追加の反応物および/または不活性ガスも提供され得る。酸素含有プラズマは、詳細には、保護フィルムがフルオロカーボンフィルム(たとえば、ヒドロフルオロカーボンフィルム)である場合に有用であるが、同様にさまざまな他の保護フィルム組成を取り除くために使用されてよい。
プラズマを使用して保護フィルムを取り除く一例では、プラズマは、誘導結合プラズマおよび/または容量結合プラズマであってよい。基板を処理するために使用する装置が図5に示す装置に類似する場合、(存在する場合には)誘導結合プラズマは上部チャンバ領域内で発生させられてよく、(存在する場合には)容量結合プラズマは下部チャンバ領域内で発生させられてよい。他の例では、誘導結合プラズマおよび/または容量結合プラズマは、分離した上部チャンバ領域および下部チャンバ領域が欠如している反応チャンバで形成されてよい。誘導結合プラズマを使用する場合、誘導結合プラズマを発生させるために使用するRF出力は、約200W~2000Wの間であってよい。容量結合プラズマを使用する場合、容量結合プラズマを発生させるために使用するRF出力は、約50W~500Wの間であってよい。これらの出力レベルは、直径が300mmの単一基板が反応チャンバ内に存在すると仮定し、他のサイズの基板に合わせてスケールを調整されてよい。剥離中の基板および/または基板温度は、約-10℃~110℃の間で維持されてよい。剥離中の反応チャンバ内の(たとえば、存在する場合には、下部チャンバ領域内の)圧力は、約0.5トール~3トール(399.9Pa)の間であってよい。反応物の流量は、約200sccm~5000sccmの間であってよい。各剥離操作の継続期間は、約10秒~60秒の間であってよい。
さらにまた他の処理を使用して、保護フィルムが剥離されてよい。そのような処理は、代わりの酸素含有種(たとえば、二酸化炭素(CO2)、亜酸化窒素(N2O)、一酸化窒素(NO))を利用してよく、および/または希釈種(たとえば、窒素(N2)、亜酸化窒素(N2O)、アルゴン(Ar)、ヘリウム(He)、元素水素(H2)など)を使用して酸素含有種を希釈してよい。
いくつかの実施形態では、保護フィルムを取り除くための剥離操作は、側壁の横方向エッチングおよび保護フィルムの堆積を実行するために使用するのと同じ反応チャンバで実行されてよい。この例では、図2Aおよび図2Bの方法の各々は、単一の反応チャンバ内ですべて実行されてよい。別の実施形態では、エッチングおよび堆積は、分離した反応チャンバで実行され、剥離操作は、基板をエッチングするために使用する反応チャンバ内で、または保護フィルムを堆積させるために使用する反応チャンバ内で実行される。この事例では、図2Aおよび図2Bの方法の各々は、2つの異なる反応チャンバを使用して実行されてよい。さらに別の実施形態では、エッチング、堆積、および剥離は、分離した反応チャンバ内ですべて実行される。この事例では、図2Aおよび図2Bの方法の各々は、3つの異なる反応チャンバを使用して実行されてよい。2つ以上の反応チャンバを使用して、単一基板を処理する場合、図2Aおよび図2Bの方法は、必要に応じて、異なる反応チャンバの間で基板を移送するステップをさらに含んでよい。
装置
本明細書で記述する方法は、任意の適切な装置により実行され得る。適切な装置は、処理操作を達成するためのハードウェア、および本実施形態による処理操作を制御するための命令を有するシステムコントローラを含む。たとえば、いくつかの実施形態では、ハードウェアは、処理ツールに含まれる1つまたは複数の処理ステーションを含んでよい。
次に、図5を参照すると、本開示に従って第2の材料に対して第1の材料を選択的にエッチングするための基板処理チャンバ500の一例が示されている。特有の基板処理チャンバについて示し、記述するが、本明細書で記述する方法は、他のタイプの基板処理システム上に実装されてもよい。いくつかの例では、基板処理チャンバ500は、遠隔の(たとえば、基板の上流の)誘導結合プラズマ(inductively coupled plasma、ICP)源を含む。任意選択の容量結合プラズマ(capacitively coupled plasma、CCP)源が備えられても良い。
基板処理チャンバ500は、下部チャンバ領域502および上部チャンバ領域504を含む。下部チャンバ領域502は、チャンバ側壁表面508、チャンバ最下部表面510、およびガス分配機器514の下部表面により画定される。いくつかの例では、ガス分配機器514は省略される。
上部チャンバ領域504は、ガス分配機器514の上部表面、および上部チャンバ壁518(たとえば、ドーム形状のチャンバ)の内部表面により画定される。いくつかの例では、上部チャンバ壁518は、第1の環状支持物521上に載置される。いくつかの例では、第1の環状支持物521は、以下でさらに記述するように、上部チャンバ領域504に処理ガスを供給するための1つまたは複数のガス流路および/または穴523を含む。ガス流路および/または穴523は、上部チャンバ領域504の外縁の周りに一定の間隔を置いて配置されてよい。いくつかの例では、処理ガスは、ガス分配機器514を含む平面に対して鋭角で上向きの方向へ1つまたは複数のガス流路および/または穴523により供給されるが、他の角度/方向が使用されてもよい。いくつかの例では、第1の環状支持物521内のプレナム534は、1つまたは複数の間隔を置いたガス流路および/または穴523にガスを供給する。
第1の環状支持物521は、下部チャンバ領域502に処理ガスを供給するための1つまたは複数のガス流路および/または穴527を画定する第2の環状支持物525に載置されてよい。いくつかの例では、ガス分配機器514内の穴531は、ガス流路および/または穴527と一直線に並ぶ。他の例では、ガス分配機器514は、より小さな直径を有し、穴531は必要ない。いくつかの例では、処理ガスは、ガス分配機器514を含む平面に対して鋭角で、基板に向けう下向きの方向へ1つまたは複数の間隔を置いて配置されたガス流路および/または穴527により供給されるが、他の角度/方向が使用されてもよい。
他の例では、上部チャンバ領域504は、平坦な最上部表面を伴う円筒形であり、1つまたは複数の平坦な誘導コイルが使用されてよい。さらに他の例では、シャワーヘッドと基板支持物の間に位置するスペーサを伴う単一チャンバが使用されてもよい。
基板支持物522は、下部チャンバ領域504内に配列される。いくつかの例では、基板支持物522は、電磁チャック(electrostatic chuck、ESC)を含むが、他のタイプの基板支持物を使用することができる。基板526は、エッチング中に基板支持物522の上部表面上に配置される。いくつかの例では、ヒータプレート541、流路を伴う任意選択の冷却プレート、および1つまたは複数のセンサ(図示せず)により基板526の温度が制御されてよいが、任意の他の適切な基板支持物温度制御システムが使用されてもよい。いくつかの例では、温度コントローラ543を使用して、基板支持物522の加熱および冷却が制御されても良い。ヒータプレート541により加熱が実行されてよく、流路545を伴う冷却プレートにより冷却が実行されてよい。
温度コントローラ547を使用して、ガス分配機器514内でプレナムに加熱液/冷却液を供給することにより、ガス分配機器514の温度が制御され得る。温度コントローラ543および/または547は、流体源、ポンプ、制御弁、および温度センサ(すべて図示されているわけではない)をさらに含んでよい。
いくつかの例では、ガス分配機器528は、シャワーヘッド(たとえば、間隔を置いて配置された複数の穴529を有するプレート528)を含む。間隔を置いて配置された複数の穴529は、プレート514の上部表面からプレート514の下部表面まで伸展する。いくつかの例では、間隔を置いて配置された穴529は、0.1インチ(2.54ミリ)~0.75インチ(19.05ミリ)の範囲の直径を有し、シャワーヘッドは、アルミニウムなどの伝導性材料、またはセラミックなどの非伝導性材料から作製され、埋め込まれた電極は、伝導性材料から作製される。以下でさらに記述する他の例では、より小さな穴529を使用して、体積に対する表面の比を増大させることができる。
上部チャンバ壁518の外側部分の周囲に1つまたは複数の誘導コイル540が配置されている。エネルギーが与えられたとき、1つまたは複数の誘導コイル540は、上部チャンバ壁518の内側に電磁場を生み出す。いくつかの例では、上部コイルおよび下部コイルが使用される。ガスインジェクタ542は、ガス供給システム550-1から上部チャンバ領域504の中に1つまたは複数のガス混合物を注入する。
いくつかの例では、ガス供給システム550-1は、1つまたは複数のガス源552、1つまたは複数の弁554、1つまたは複数の質量流コントローラ(mass flow controller、MFC)556、および混合マニホルド558を含むが、他のタイプのガス供給システムが使用されてよい。ガススプリッタ(図示せず)を使用して、ガス混合物の流量が変更されてよい。別のガス供給システム550-2を使用して、ガス流路および/または穴523および/または527に(ガスインジェクタ542からのエッチングガスに加えて、またはそれの他に)エッチングガス、チューニングガス、パージガス、または他のガス混合物が供給されてよい。
全体が参照により本明細書に組み入れられる、2015年12月4日に出願された、「Gas Delivery System(ガス供給システム)」と題する、同一出願人による米国特許出願14/945,680に、適切なガス供給システムについ示され、記述されている。全体が参照により本明細書に組み入れられる、2016年1月7日に出願された、「Substrate Processing System with Multiple Injection Points and Dual Injector(複数の注入点および二重インジェクタを伴う基板処理システム)」と題する同一出願人による米国仮特許出願62/275,837に、適切な単一の、または二重のガスインジェクタおよび他のガス注入場所について示され、記述されている。
いくつかの例では、ガスインジェクタ542は、下向きの方向にガスを向ける中央の注入部、および下向きの方向に対して角度をなしてガスを注入する1つまたは複数の側面の注入部を含む。いくつかの例では、ガス供給システム550-1は、中央の注入部にガス混合物の第1の部分を第1の流量で、ガスインジェクタ542の1つまたは複数の側面の注入場所にガス混合物の第2の部分を第2の流量で供給する。他の例では、ガスインジェクタ542により、異なるガス混合物が供給される。いくつかの例では、ガス供給システム550-2は、以下で記述するように、ガス流路および/もしくは穴523および527に、ならびに/または処理チャンバ内の他の場所に、チューニングガスを供給する。たとえば、ガス供給システム550-2はまた、ガス分配機器514内のプレナムにガスを供給することができる。
プラズマ発生器570を使用して、1つまたは複数の誘導コイル540に出力されるRF出力が発生され得る。プラズマ590は、上部チャンバ領域504内で発生させられる。いくつかの例では、プラズマ発生器570は、RF発生器572および整合ネットワーク574を含む。整合ネットワーク574は、RF発生器572のインピーダンスを1つまたは複数の誘導コイル540のインピーダンスに整合させる。いくつかの例では、ガス分配機器514は、接地などの基準電位に接続される。弁578およびポンプ580を使用して、下部チャンバ領域502および上部チャンバ領域504の内側で圧力を制御し、反応物を排出してよい。
コントローラ576は、ガス供給システム550-1および550-2、弁578、ポンプ580、ならびに/またはプラズマ発生器570と通信して、処理ガス、パージガス、チューニングガス、RFプラズマの流れ、およびチャンバ圧力を制御する。いくつかの例では、プラズマは、1つまたは複数の誘導コイル540により上部チャンバ壁518の内側で持続される。1つまたは複数のガス混合物は、ガスインジェクタ542(ならびに/またはガス流路および/もしくは穴523)を使用してチャンバの最上部部分から導入され、プラズマは、ガス分配機器514を使用して上部チャンバ壁518内部に閉じ込められる。
上部チャンバ壁518の中にプラズマを閉じ込めることにより、プラズマ種の体積再結合が可能になり、ガス分配機器514を通して所望のエッチング種を放出することが可能になる。いくつかの例では、基板526にRFバイアスがまったく印加されない。この結果、基板526上に活性化状態のシースはまったく存在せず、どんな有限エネルギーを伴うイオンも基板に到達しない。一部の量のイオンは、ガス分配機器514を通してプラズマ領域外に拡散する。しかしながら、拡散するプラズマの量は、上部チャンバ壁518の内側に位置するプラズマよりも1桁少ない。プラズマ内のイオンの大部分は、高圧で体積再結合により失われる。ガス分配機器514の上部表面での表面再結合損失はまた、イオン濃度をガス分配機器514よりも低下させる。
いくつかの例では、RFバイアス発生器584が提供され、RF発生器586およびマッチング回路588を含む。イオンを引き寄せるために、RFバイアスは、ガス分配機器514と基板支持物の間でプラズマを生成し、または基板526上に自己バイアスを生成しりために用いられ得る。コントローラ576を使用して、RFバイアスが制御され得る。
いくつかの実装形態では、コントローラは、上述の例の一部であってよいシステムの一部である。そのようなシステムは、1つもしくは複数の処理ツール、1つもしくは複数のチャンバ、処理するための1つもしくは複数のプラットフォーム、および/または特有の処理構成要素(ウエハペダル、ガス流システムなど)を含む半導体処理設備を備えることができる。これらのシステムは、半導体ウエハまたは半導体基板を処理する前、その間、およびその後に自身の操作を制御するための電子機器と一体化されてよい。電子機器は、1つまたは複数のシステムのさまざまな構成要素または下位区分を制御してよい「コントローラ」と呼ばれることがある。処理要件および/またはシステムのタイプに応じて、コントローラは、処理ガスの供給、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、出力設定、無線周波数(radio frequency、RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および操作の設定、ツールおよび他の移送ツールの中へ、およびそれらからのウエハ移送、ならびに/または特有のシステムに接続された、もしくはそれとインタフェースをとるロードロックを含む、本明細書で開示するいずれの処理をも制御するようにプログラムされ得る。
大まかに言って、コントローラは、さまざまな集積回路、論理回路、メモリ、および/または命令を受け取り、命令を発行し、操作を制御し、クリーニング操作を可能にし、エンドポイント測定を可能にするなどを行うソフトウェアを有する電子回路として規定されてよい。集積回路は、プログラム命令を記憶するファームウェアの形をとるチップ、デジタル・シグナル・プロセッサ(digital signal processor、DSP)、特定用途向け集積回路(application specific integrated circuit、ASIC)として規定されるチップ、および/またはプログラム命令(たとえば、ソフトウェア)を実行するマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、特定の処理を行うための、もしくは半導体ウエハのための操作パラメータ、またはシステムに対する操作パラメータを規定するさまざまな個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であってよい。操作パラメータは、いくつかの実施形態では、1つもしくは複数の層、材料、金属、酸化物、ケイ素、酸化ケイ素、表面、回路、および/またはウエハのダイを製造する間、1つまたは複数の処理ステップを達成するために処理技術者により規定されたレシピの一部であってよい。
コントローラは、いくつかの実装形態では、システムと一体化された、システムに連結された、システムに他の方法でネットワーク化された、またはそれらを組合せたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。たとえば、コントローラは、「クラウド」の中にあってよい、または半導体工場のホストコンピュータシステムのすべて、もしくは一部であってよく、これにより、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製造操作の現在の進展を監視し、過去の製造操作の履歴を調べ、複数の製造操作から傾向または性能指標を調べるためにシステムに遠隔でアクセスして、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定可能にする、または新しい処理を開始可能にする。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介してシステムに処理レシピを提供することができる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にし、パラメータおよび/または設定は、次いで、遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラは、1つまたは複数の操作の間に実行すべき処理ステップごとにパラメータを指定する、データの形をとる命令を受け取る。パラメータは、実行すべき処理のタイプ、およびコントローラがインタフェースをとる、または制御するように構成されたツールのタイプに特有であってよいことを理解されたい。したがって、上記で記述したように、コントローラは、本明細書で記述する処理および制御などの共通の目的に向かって作動する、一緒にネットワーク化された1つまたは複数の別個のコントローラを備えることによるなど、分散させられてよい。そのような目的のための分散コントローラのある例は、チャンバ上の処理を制御するために組み合わせる(プラットフォームレベルで、または遠隔コンピュータの一部としてなど)遠隔に位置する1つまたは複数の集積回路と通信状態にある、チャンバ上の1つまたは複数の集積回路であってよい。
限定することなく、例示のシステムは、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピン・リンス・チャンバまたはモジュール、めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベル縁部エッチングチャンバまたはモジュール、物理蒸着法(physical vapor deposition、PVD)チャンバまたはモジュール、化学蒸着(chemical vapor deposition、CVD)チャンバまたはモジュール、原子層堆積(atomic layer deposition、ALD)チャンバまたはモジュール、原子層エッチング(atomic layer etch、ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製造および/または制作に関連づけられてよい、またはそれで使用されてよい、任意の他の半導体処理システムを含んでよい。
上記で指摘したように、ツールにより実行すべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、近接したツール、隣接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツールの場所および/またはロードポートとの間でウエハの容器を運ぶ材料搬送で使用するツールのうち1つまたは複数と通信してよい。
上記で記述したハードウェアおよび方法のさまざまな実施形態は、たとえば、半導体機器、表示装置、LED、光起電力パネルなどを製作または製造するために、リソグラフィによるパターン形成ツールまたは処理と関連づけて使用されてよい。典型的には、必ずしもではないが、そのようなツール/処理は、共通の製造施設で一緒に使用される、または行われる。
リソグラフィによるフィルムのパターン形成は、典型的には、(1)スピン・オンツールまたはスプレー・オン・ツールを使用して、加工物、たとえば上に窒化ケイ素フィルムが形成された基板上にフォトレジストを適用するステップ、(2)ホットプレートまたは炉または他の適切な硬化ツールを使用してフォトレジストを硬化させるステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを暴露するステップ、(4)レジストを選択的に取り除き、それにより、ウェットベンチまたはスプレーデベロッパなどのツールを使用してレジストをパターン形成するように、レジストを現像するステップ、(5)ドライエッチングツールまたはプラズマ支援ツールを使用することにより、下にあるフィルムまたは加工物の中にレジストパターンを移すステップ、ならびに(6)RFまたはマイクロ波のプラズマレジスト剥離液などのツールを使用してレジストを取り除くステップのうち一部またはすべてを備え、各ステップは、いくつかの想定されるツールを用いて可能になる。いくつかの実施形態では、フォトレジストを適用する前に、灰化可能ハードマスク層(非晶質炭素層など)および別の適切なハードマスク(反射防止層など)を堆積させてよい。
本明細書で記述する構成および/または取り組み方法は、本質的に代表的なものであること、ならびに数多くの変形形態が可能であるので、これらの特有の実施形態または例は、限定する意味で考えられるべきではないことを理解されたい。本明細書で記述する特有のルーチンまたは方法は、任意の数の処理戦略の1つまたは複数を表していることがある。したがって、例示するさまざまな活動は、例示する順序で、他の順序で、並列に実行されてよい、または場合によっては省略されてよい。同様に、上記で記述する処理の順序を変更してよい。ある種の参考文献を参照により本明細書に組み入れている。そのような参考文献で行われるどの拒否も否認も、本明細書で記述する実施形態に必ずしもあてはまらないことが理解される。同様に、そのような参考文献で必要に応じて記述される任意の特徴は、本明細書の実施形態で省略されてよい。
本開示の主題は、本明細書で開示するさまざまな処理、システム、および構成、ならびに他の特徴、機能、活動、および/または特性のすべての新規で非自明な組合せおよび副組合せだけではなく、それらの任意のすべての均等物も含む。

Claims (22)

  1. 基板上の特徴の側壁から不要な材料を横方向にエッチングする方法であって、
    (a)エッチングプラズマに前記基板を暴露することによりエッチング操作を実行し、前記エッチングプラズマは、エッチング反応物を有する、遠隔で発生させられた誘導結合プラズマを備え、前記エッチング操作は、前記特徴の前記側壁の一部分から前記不要な材料を横方向にエッチングし、
    (b)堆積プラズマに前記基板を暴露することにより堆積操作を実行し、前記堆積プラズマは、堆積反応物を有する容量結合プラズマを備え、前記堆積操作は、前記特徴の前記側壁の第2の部分の全域にわたって保護フィルムを形成し、前記保護フィルムは、前記側壁の最上部近くで最も厚く、かつ前記側壁の最下部まで延伸しないように非共形であり、
    (c)前記不要な材料が前記特徴の前記側壁全体に沿って横方向にエッチングされるまで、前記(a)の前記エッチング操作および前記(b)の前記堆積操作を反復し、前記(a)の異なる反復は、前記特徴の前記側壁の異なる部分から前記不要な材料を横方向にエッチングし、前記(b)の異なる反復は、前記特徴の前記側壁の異なる第2の部分の全域にわたって前記保護フィルムを堆積させ、前記(a)における前記エッチング操作の少なくとも1つの反復の間、横方向にエッチングされる前記側壁の前記部分は、前記(b)の先行する反復で堆積された前記保護フィルムにより覆われる前記側壁の前記第2の部分の真下にある、
    方法。
  2. 請求項1に記載の方法であって、前記(a)における前記エッチング操作の第1の反復は、前記(a)の前記第1の反復が前記側壁上に前記保護フィルムなしで実行されるように、前記(b)における前記堆積操作の第1の反復の前に実行され、前記(a)の前記第1の反復において横方向にエッチングされる前記側壁の前記部分は、前記側壁の最上部部分である、方法。
  3. 請求項2に記載の方法であって、前記(b)における前記堆積操作の前記第1の反復は、前記(a)における前記エッチング操作の前記第1の反復において横方向にエッチングされた前記側壁の同じ前記部分上に前記保護フィルムを形成する、方法。
  4. 請求項3に記載の方法であって、前記(a)における前記エッチング操作の第2の反復は、前記(b)における前記堆積操作の前記第1の反復の後に実行され、前記(a)の前記第2の反復において横方向にエッチングされる前記側壁の前記部分は、前記(a)の前記第1の反復において横方向にエッチングされる前記側壁の前記部分と比較して前記特徴内のより深い所にある、方法。
  5. 請求項2に記載の方法であって、前記保護フィルムが前記(b)における前記堆積操作の各反復において形成する前記側壁の前記第2の部分は、前記不要な材料が前記(a)における前記エッチング操作の直前の反復において取り除かれる前記側壁の前記部分を含み、その結果、前記保護フィルムは、前記(a)の先行する反復においてエッチングされたばかりの前記側壁の前記部分を覆うように前記(b)において常に形成される、方法。
  6. 請求項2に記載の方法であって、前記不要な材料は、前記(a)および前記(b)の追加の反復が実行される際に、前記側壁の前記最上部から前記側壁の前記最下部に向かう順序で取り除かれる、方法。
  7. 請求項6に記載の方法であって、前記保護フィルムは、前記(b)の前記追加の反復が実行される際に、前記側壁に沿って次第に深く到達するように形成される、方法。
  8. 請求項7に記載の方法であって、前記保護フィルムは、前記(b)の異なる反復における異なる堆積条件のセットを使用して形成される、方法。
  9. 請求項8に記載の方法であって、前記(b)の前記異なる反復における前記異なる堆積条件のセットは、基板支持物温度、圧力、前記堆積反応物の流量、および前記容量結合プラズマを生成するために使用するRF出力からなるグループから選択される少なくとも1つの変数に関して互いに変化する、方法。
  10. 請求項1に記載の方法であって、前記(b)における前記堆積操作の第1の反復は、前記(a)における前記エッチング操作の第1の反復の前に、前記保護フィルムが前記側壁上に存在する間に前記(a)の前記第1の反復が実行されるように実行される、方法。
  11. 請求項10に記載の方法であって、前記不要な材料は、前記(a)および前記(b)の追加の反復が実行される際、前記側壁の前記最下部から前記側壁の前記最上部に向かう順序で取り除かれる、方法。
  12. 請求項11に記載の方法であって、前記保護フィルムは、前記(b)の前記追加の反復が実行される際、前記側壁に沿って次第に浅く到達するように形成される、方法。
  13. 請求項11に記載の方法であって、さらに、前記(a)における前記エッチング操作の各反復の後であって、かつ前記(b)における前記堆積操作の次の反復で前記保護フィルムを堆積させる前に、前記側壁から前記保護フィルムを剥離し、前記保護フィルムを剥離することは、酸素を有する剥離プラズマに前記基板を暴露することを備える、方法。
  14. 請求項1から請求項13のいずれか一項に記載の方法であって、前記保護フィルムは、ヒドロフルオロカーボンに基づく高分子フィルムである、方法。
  15. 請求項1から請求項14のいずれか一項に記載の方法であって、前記エッチング反応物は、フッ素ラジカルを作り出す、方法。
  16. 請求項1から請求項15のいずれか一項に記載の方法であって、前記不要な材料は、金属を備える、方法。
  17. 請求項1から請求項15のいずれか一項に記載の方法であって、前記不要な材料は、ポリシリコンである、方法。
  18. 請求項1から請求項15のいずれか一項に記載の方法であって、前記不要な材料は、窒化ケイ素である、方法。
  19. 請求項1から請求項18のいずれか一項に記載の方法であって、前記(a)および前記(b)は、同じ反応チャンバ内で実行され、前記反応チャンバは、
    ガス分配機器により分離された下部チャンバ領域および上部チャンバ領域と、
    前記上部チャンバ領域内に前記誘導結合プラズマを発生させる誘導結合プラズマ源と、
    前記下部チャンバ領域内に前記容量結合プラズマを発生させる容量結合プラズマ源と
    を備える方法。
  20. 請求項1から請求項18のいずれか一項に記載の方法であって、前記(a)および前記(b)は、異なる反応チャンバ内で行われ、さらに、前記(a)および前記(b)の実行に応じて、前記異なる反応チャンバの間で前記基板を移送する、方法。
  21. 請求項1から請求項20のいずれか一項に記載の方法であって、前記特徴は、第1の積層材料および第2の積層材料からなる交互層を備える積層の形で形成され、前記(a)における前記エッチング操作は、前記第1の積層材料および前記第2の積層材料のうち少なくとも一方を暴露し、前記(a)における前記エッチング操作は、前記不要な材料が前記第1の積層材料および前記第2の積層材料と比較して優先的に取り除かれるように、選択的である、方法。
  22. 基板上の特徴の側壁から不要な材料を横方向にエッチングするための装置であって、
    下部チャンバ領域および上部チャンバ領域を備える反応チャンバと、
    前記上部チャンバ領域から前記下部チャンバ領域を分離するガス分配機器と、
    前記上部チャンバ領域内に誘導結合プラズマを発生させる誘導結合プラズマ源と、
    前記下部チャンバ領域内に容量結合プラズマを発生させる容量結合プラズマ源と、
    前記上部チャンバ領域に気相反応物を供給するための第1の入口と、
    前記下部チャンバ領域に気相反応物を供給するための第2の入口と、
    前記下部チャンバ領域から気相材料を取り除くための出口と、
    コントローラと
    を備え、前記コントローラは、
    (a)前記基板が前記下部チャンバ領域内に配置される間、前記上部チャンバ領域内にエッチング反応物を備える誘導結合エッチングプラズマを発生させることによりエッチング操作を実行し、前記エッチング操作は、前記特徴の前記側壁の一部分から前記不要な材料を横方向にエッチングし、
    (b)前記基板が前記下部チャンバ領域内に配置される間、前記下部チャンバ領域内に堆積反応物を備える容量結合堆積プラズマを発生させることにより堆積操作を実行し、前記堆積操作は、前記特徴の前記側壁の第2の部分の全域にわたって保護フィルムを形成し、前記保護フィルムは、前記側壁の最上部の近くで最も厚く、かつ前記側壁の最下部まで延伸しないように非共形であり、
    (c)前記不要な材料が前記特徴の前記側壁全体に沿って横方向にエッチングされるまで、前記(a)の前記エッチング操作および前記(b)の前記堆積操作を反復し、前記(a)の異なる反復は、前記特徴の前記側壁の異なる部分から前記不要な材料を横方向にエッチングし、前記(b)の異なる反復は、前記特徴の前記側壁の異なる第2の部分の全域にわたって前記保護フィルムを堆積させ、前記(a)における前記エッチング操作の少なくとも1つの反復の間、横方向にエッチングされる前記側壁の前記部分は、前記(b)の先行する反復で堆積させられた前記保護フィルムにより覆われる前記側壁の前記第2の部分の真下にある、装置。
JP2020505402A 2017-08-02 2018-07-26 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング Active JP7210538B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/667,551 2017-08-02
US15/667,551 US10276398B2 (en) 2017-08-02 2017-08-02 High aspect ratio selective lateral etch using cyclic passivation and etching
PCT/US2018/043967 WO2019027811A1 (en) 2017-08-02 2018-07-26 SELECTIVE HIGH ASPECT RATIO LATERAL ENGRAVING USING CYCLIC PASSIVATION AND CYCLIC ENGRAVING

Publications (2)

Publication Number Publication Date
JP2020529732A JP2020529732A (ja) 2020-10-08
JP7210538B2 true JP7210538B2 (ja) 2023-01-23

Family

ID=65230386

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020505402A Active JP7210538B2 (ja) 2017-08-02 2018-07-26 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング

Country Status (7)

Country Link
US (2) US10276398B2 (ja)
JP (1) JP7210538B2 (ja)
KR (1) KR102574582B1 (ja)
CN (1) CN110998804A (ja)
SG (1) SG11202000849UA (ja)
TW (1) TW201921484A (ja)
WO (1) WO2019027811A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7137927B2 (ja) * 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
WO2019138654A1 (ja) 2018-10-26 2019-07-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
CN113632208A (zh) * 2019-04-05 2021-11-09 东京毅力科创株式会社 用于高度选择性氧化硅/氮化硅蚀刻的蚀刻和钝化气体组分的独立控制
TW202117847A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理
US20220181160A1 (en) * 2020-12-09 2022-06-09 Applied Materials, Inc. Methods and apparatus for in-situ protection of etched surfaces
WO2023209812A1 (ja) * 2022-04-26 2023-11-02 株式会社日立ハイテク プラズマ処理方法
US20240120210A1 (en) * 2022-10-11 2024-04-11 Applied Materials, Inc. Isotropic silicon nitride removal
CN117438299B (zh) * 2023-12-21 2024-03-29 浙江集迈科微电子有限公司 Iii-v族化合物半导体材料的刻蚀方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101598A (ja) 2003-09-04 2005-04-14 Hitachi High-Technologies Corp 真空処理装置
JP2006287053A (ja) 2005-04-01 2006-10-19 Hitachi High-Technologies Corp プラズマ処理装置
JP2009278062A (ja) 2008-04-15 2009-11-26 Tokyo Electron Ltd 真空容器およびプラズマ処理装置
JP2011204764A (ja) 2010-03-24 2011-10-13 Tokyo Electron Ltd 基板処理装置
JP2012023164A (ja) 2010-07-14 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2012028682A (ja) 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136069A (ja) 1985-12-10 1987-06-19 Hitachi Ltd 半導体装置およびその製造方法
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5767018A (en) 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040077178A1 (en) 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
DE10308888B4 (de) * 2003-02-28 2006-12-28 Infineon Technologies Ag Anordnung von Kondensatoren zur Erhöhung der Speicherkapazität in einem Halbleitersubstrat und Verfahren zur Herstellung einer Anordnung
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR20060030717A (ko) 2004-10-06 2006-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR100745986B1 (ko) 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR101167195B1 (ko) 2005-11-01 2012-07-31 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7951683B1 (en) 2007-04-06 2011-05-31 Novellus Systems, Inc In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
US20080286978A1 (en) 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
WO2009085564A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
KR101605005B1 (ko) 2007-12-21 2016-03-21 램 리써치 코포레이션 Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
KR100875180B1 (ko) 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
JP5893864B2 (ja) 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
JP2013229351A (ja) 2012-04-24 2013-11-07 Hitachi High-Technologies Corp ドライエッチング方法
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US20140043216A1 (en) 2012-08-10 2014-02-13 Qualcomm Mems Technologies, Inc. Boron nitride antistiction films and methods for forming same
KR101881857B1 (ko) * 2012-08-27 2018-08-24 삼성전자주식회사 계단형 패턴 형성 방법
US20140065838A1 (en) 2012-08-31 2014-03-06 Carolyn R. Ellinger Thin film dielectric layer formation
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20170014036A (ko) 2015-07-28 2017-02-08 삼성전자주식회사 반도체 장치
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9837286B2 (en) * 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101598A (ja) 2003-09-04 2005-04-14 Hitachi High-Technologies Corp 真空処理装置
JP2006287053A (ja) 2005-04-01 2006-10-19 Hitachi High-Technologies Corp プラズマ処理装置
JP2009278062A (ja) 2008-04-15 2009-11-26 Tokyo Electron Ltd 真空容器およびプラズマ処理装置
JP2011204764A (ja) 2010-03-24 2011-10-13 Tokyo Electron Ltd 基板処理装置
JP2012023164A (ja) 2010-07-14 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置
JP2012028682A (ja) 2010-07-27 2012-02-09 Mitsubishi Electric Corp プラズマ装置およびこれを用いた半導体薄膜の製造方法

Also Published As

Publication number Publication date
JP2020529732A (ja) 2020-10-08
TW201921484A (zh) 2019-06-01
CN110998804A (zh) 2020-04-10
US10276398B2 (en) 2019-04-30
KR102574582B1 (ko) 2023-09-04
SG11202000849UA (en) 2020-02-27
KR20200027568A (ko) 2020-03-12
US20190043732A1 (en) 2019-02-07
US11011388B2 (en) 2021-05-18
WO2019027811A1 (en) 2019-02-07
US20190206697A1 (en) 2019-07-04

Similar Documents

Publication Publication Date Title
JP7210538B2 (ja) 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US10580657B2 (en) Device fabrication via pulsed plasma
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
US10615169B2 (en) Selective deposition of SiN on horizontal surfaces
EP3038142A1 (en) Selective nitride etch
TWI723124B (zh) 硬遮罩之自我限制平坦化加工
US20150214066A1 (en) Method for material removal in dry etch reactor
JP2022092006A (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US11488831B2 (en) Efficient cleaning and etching of high aspect ratio structures
US20220181141A1 (en) Etch stop layer
US11881410B2 (en) Substrate processing apparatus and plasma processing apparatus
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210726

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220816

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230111

R150 Certificate of patent or registration of utility model

Ref document number: 7210538

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150